电子设计自动化实验报告.doc

上传人:wux****ua 文档编号:8976464 上传时间:2020-04-02 格式:DOC 页数:21 大小:615KB
返回 下载 相关 举报
电子设计自动化实验报告.doc_第1页
第1页 / 共21页
电子设计自动化实验报告.doc_第2页
第2页 / 共21页
电子设计自动化实验报告.doc_第3页
第3页 / 共21页
点击查看更多>>
资源描述
湖南工业大学理学院实验名称熟悉QuartusII的图形输入法实验地点理学楼210实验时间2016.10.16实验成绩一、 实验目的及任务掌握QuartusII的使用方法(1) 熟悉图形输入法(2) 理解编译方法(3) 了解定时仿真二、 实验内容与步骤(1)设计一个二选一数据选择器、全加法器。(2)根据图形输入法编译和波形仿真。三、 实验电路或者实验源程序二选一电路图:全加器电路图:四、实验结果二选一结果图:全加器结果图:实验名称熟悉QuartusII的VHDL语言描述输入法实验地点理学楼210实验时间2016.10.23实验成绩1、 实验目的及任务(1) VHDL语言描述输入法(2) 理解编译方法(3) 熟悉波形仿真2、 实验内容与步骤(1)设计一个4位并行奇校验发生器(2)根据VHDL语言描述输入法编译和波形仿真三、实验电路或者实验源程序VHDL程序:library ieee;use ieee.std_logic_1164.all;entity parity_loop isport (a : in std_logic_vector(0 to 2); b : in std_logic; y : out std_logic);end parity_loop;architecture a of pa rity_loop issignal s : std_logic_vector(0 to 3);beginprocess(a)begins(0)=b;for i in 0 to 2 loops(i+1)=s(i)xor a(i);end loop;y=s(3);end process;end a;电路图:四、实验结果实验名称JK触发器的设计实验地点理学楼210实验时间2016.10.31实验成绩一、实验目的及任务掌握QuartusII的VHDL语言描述输入法(1) 掌握VHDL语言描述输入法(2) 掌握VHDL语言二、实验内容与步骤(1)设计一个JK触发器(2)根据VHDL语言描述输入法编译和波形仿真。输入输出prnclrclkJKQQb01xxx1010xxx0100xxxxx11上升沿00不变不变11上升沿010111上升沿101011上升沿11翻转翻转其中 预置端prn 复位端clr 时钟端clk三、实验电路或者实验源程序源程序:四、实验结果实验名称6位双向移位寄存器的设计实验地点理学楼210实验时间2016.11.03实验成绩一、实验目的及任务掌握QuartusII的VHDL语言描述输入法(1) 掌握VHDL语言描述输入法(2) 掌握VHDL语言(3) 理解if语句进行描述计数器。(4)设计一个6位双向移位寄存器2、 实验内容与步骤(1)根据VHDL语言描述输入法编译和波形仿真。端口说明:预置数据输入端:predata 脉冲输入端:clk 移位寄存器输出端:dout 工作模式控制端:M1,M0 左移串行数据输入:ds1 右移串行数据输入(低位向高位):dsr 寄存器复位端:reset(2) 用QuartusII软件编译和波形仿真(3) 工作模式控制表:M1 M0模式0 0保持0 1右移1 0 左移1 1预加载三、实验电路或者实验源程序源程序:4、 实验结果 前半段 后半段实验名称电子钟的VHDL程序设计实验地点理学楼210实验时间2016.11.10实验成绩一、实验目的及任务掌握QuartusII的VHDL语言描述输入法(1) 掌握VHDL语言描述输入法(2) 掌握VHDL语言(3) 掌握VHDL语言描述和图形设计的结合(4) 设计一个含时、分、秒的时钟 (5) 用QuartusII软件编译和波形仿真二、实验内容与步骤(1)设计电子钟的VHDL程序(2)根据VHDL语言描述输入法编译和波形仿真。三、实验电路或者实验源程序源程序:(1) 60进制(分和秒):(2) 十进制VHDL: (3)六进制VHDL: (4)24进制(时):(4) 电子时钟顶层文件四、实验结果 实验名称七段数码显示译码器设计实验地点理学楼210实验时间2016.11.24实验成绩一、实验目的及任务(1) 掌握使用并行下载程序(2) 掌握数码显示的原理(3) 掌握FPGA开发板的基本结构(4) 设计一个能显示1-9数字的程序,用数码管显示数字二、实验内容与步骤(1)设计译码器的VHDL程序(由计数器得到译码器的输入值)(2)将VHDL程序下载到FPGA芯片中(3)连接连线,观察数码显示的结果(共阴数码管)3、 实验电路或者实验源程序源程序:4、 实验结果实验名称预置分频器实验实验地点理学楼210实验时间2016.12.01实验成绩一、实验目的及任务(1) 掌握使用并行下载程序(2) 掌握VHDL语言(3) 掌握分频器的设计方法(4) 设计一个预置分频器,用扬声器测试分频结果(5) 掌握if语句二、实验内容与步骤(1)根据VHDL语言描述输入法编译和波形仿真(2)将VHDL程序下载到FPGA芯片中(3)连接连线,用扬声器听不同分频数的声音三、实验电路或者实验源程序源程序:四、实验结果实验名称交通灯控制器实验实验地点理学楼210实验时间2016.12.12实验成绩一、实验目的及任务(1) 掌握使用并行下载程序(2) 掌握VHDL语言(3) 掌握时序逻辑电路的设计方法(4) 设计一个交通灯控制器,用LED显示控制过程(5) 掌握使用process进程二、实验内容与步骤(1)根据VHDL语言描述输入法编译和波形仿真(2)将VHDL程序下载到FPGA芯片中(3)连接连线,模拟观察交通灯控制的过程三、实验电路或者实验源程序(1)顶层模块图:(2) 数码管VHDL: (3) 状态VHDL:四、实验结果实验心得在刚开始学习电子设计自动化那几节课,我感觉这课程挺无聊的,各种型号、各种构造、各种下载方式搞得我眼花缭乱。在第五章开始学习VHDL编程语法的时候,虽然听得是很仔细,却总是感觉并没有真正了解。当开始安排实验课程之后,才对这门课程有了更为深刻的认识,这个科目是个更偏向于实践的课程,自我感觉,实验需要赶上理论课程,因为我觉得在实验中,我能更全面的了解整个FPGA工程的构造,就像是面向对象编程的思想,先抽象出一个整体,在把各个方面的东西具体化,进而全面了解整个体统,而不是先把各个整体弄出来,然后再拼装成一个整体。事实证明,我的想法是对的。经过第二个实验之后,我觉得我已经了解FPGA有了入门级的了解,并且书上的内容就像是查看数据手册一样,简单易懂。但是在做实验之前,我只能像背课文一样,把每个知识点牢记于心,这样的效率和效果是极其低的。所以,自我感觉,偏向实践类的科目不应该只是盲目的把理论课程上好,更应该在上课的同时开展实验,双管齐下,才能更有效果。
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 工作总结


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!