FPGA在直流电机调速中的应用.doc

上传人:wux****ua 文档编号:8724346 上传时间:2020-03-31 格式:DOC 页数:41 大小:2.08MB
返回 下载 相关 举报
FPGA在直流电机调速中的应用.doc_第1页
第1页 / 共41页
FPGA在直流电机调速中的应用.doc_第2页
第2页 / 共41页
FPGA在直流电机调速中的应用.doc_第3页
第3页 / 共41页
点击查看更多>>
资源描述
毕 业 论 文(设 计)题 目: FPGA在直流电机调速中的应用 (Title): Application of FPGA in the DC Motor Speed Control 系 别: 电气工程系 专 业: 自 动 化 姓 名: 赖 锦 昌 学 号: 2009020243157 指导教师: 陈 朝 大 日 期: 2013年5月 FPGA在直流电机调速中的应用摘要针对直流电机快速发展及广泛应用,设计了一个直流电机调速系统。系统采用以FPGA芯片为中央处理核心,以L298N驱动芯片驱动直流电机,通过按键来控制直流电机的运行状态。设计详细地介绍了直流电机的类型、结构、工作原理、PWM调速原理以及FPGA集成芯片。并对直流电机PWM调速系统方案的组成、硬件电路设计进行了详细叙述。实验结果表明,控制系统能够准确快速地控制直流电机启停、正反转和加减速。关键词:直流电机;FPGA;L298N;PWMThe FPGA in the Application of the DC Motor Speed ControlAbstractRapid development and widely used for dc motor, a dc motor speed control system is designed. The system uses FPGA chip as the central processing core, L298N driver chip to drive DC motors, through the pressed key to control dc motor running state. The design detailed description of the DC motor type, structure, working principle, PWM governor principles and FPGA chip. The design for the composition of dc motor PWM speed control system and hardware circuit design has made the detailed narration. Experimental results show that the control system can quickly and accurately control the DC motor to start, stop, forward, reverse, acceleration and deceleration.Key Words:DC motor; FPGA;L298N; PWM目 录1、绪论11.1课题研究的现状及意义11.2课题研究的主要内容12、设计总体方案选择22.1主控芯片方案选择22.2驱动芯片方案选择42.3键盘电路方案选择52.4直流电机调速方案选择63、直流电机介绍73.1直流电机的特点73.2直流电机的基本结构83.3直流电机的工作原理103.4直流电机主要技术参数104、硬件电路设计134.1整流稳压电路134.2起振电路144.3复位电路154.4键盘电路164.5直流电机驱动电路174.5总电路原理图及实物图185、软件设计205.1控制逻辑Verilog HDL描述205.2 FPGA开发环境的介绍225.3建立工程项目225.4直流电机控制仿真图255.5仿真图分析265.6总设计流程286、实物调试297、总结29参考文献31致谢32附录331、绪论1.1课题研究的现状及意义电机是一种能量转换的装置,在国民经济中起着重要作用,无论是在工农生产、交通运输、国防宇航、医疗卫生、商务与办公设备,还是日常生活中的家用电器,都大量的使用着各种各样的电机,如汽车、电视机、电风扇、空调等等也离不开电机。同时,在越来越多的应用场合,只能旋转的电机己无法满足要求,而是要求能够实现快速加速、减速或反转以及准确停止等功能。必须寻找新的电机控制器来适应时代的发展。随着科学技术日益发展,特别是EDA技术的发展,直流电机的应用更加广泛。直流电机有许多有优点,如速度容易控制,精度高,效率高等,能在交款的范围内调速,因而在许多工业领域中应用广泛。直流电机大多数采用PWM(脉宽调制)的方法进行控制。在国外,PWM源于上世纪九十年代,随着现代电子技术的发展使得PWM理论越来越成熟,其发展的速度越来越快速。已经取代传统的可控硅电机调速系统。在国内PWM有理论基础逐渐成熟,但在应用上,国内外差距也很大。PWM调速系统的应用是近年来才开始的,所以PWM电机调速方案是未来电机拖动系统的首选方案,是实现电机拖动数字控制的基础。PWM调速有两种模式:一种是采用模拟电路控制,另一种是采用数字的控制。模拟控制由于其调试复杂等固有原因,正逐渐被淘汰。而在数字控制技术中,FPGA的数字PWM控制具有精度高,反应快,外部连线少,电路简单,便于控制等优点广泛的被人们使用,应而研究FPGA具有十分重要的意义。电动机调速系统采用FPGA实现数字化控制,是电气传动发展的主要趋势。采用FPGA控制后,整个调速系统能够实现快速加速、减速或正/反转以及准确停止、在线调速等功能,操作维护方便,电动机稳态运转时转速精度可达到较高水平,各项指标均能较好地满足高性能电气传动的要求。由于FPGA的外部连线少,电路简单,便于控制,具有较佳的性能价格比,所以在工业过程及设备控制中得到日益广泛的应用。1.2课题研究的主要内容设计提出基于FPGA在直流电机调速中的应用,主要分析直流电机的结构、主要技术参数、工作原理、调速原理以及正/反转、启/停原理。通过对直流电机控制的研究,掌握基于FPGA的直流电机PWM控制原理,学会应用Verilog HDL语言进行编程;通过对本课题的研究,掌握EDA开发技术的编程方法,培养创新意识和理论联系实际的学风。熟悉现代电子产品的设计流程。设计系统的总体框图如图1.1所示。FPGA(CPU)键盘电路起振电路驱动电路路复位电路直流电机的运行状态图1.1 总体框图2、设计总体方案选择2.1主控芯片方案选择51单片机I/O口有限,而FPGA的I/O多,可以方便连接外设。比如一个系统有多路AD、DA,51单片机要进行仔细的资源分配,总线隔离,而FPGA由于丰富的I/O资源,可以很容易用不同I/O资源连接各外设。51单片机程序是串行执行的,执行完一条才能执行下一条,在处理突发事件时只能够调用有限的中断资源;而FPGA不同逻辑可以并行执行,可以同时处理不同任务,这就导致了FPGA的工作效率更高。FPGA内部集成锁项环,可以把外部时钟倍频,核心频率可以到几百M,而51单片机运行速度低的多,在高速场合,51单片机无法代替FPGA。FPGA甚至包含51单片机和DSP软核,并且I/O数仅受FPGA自身I/O限制,所以,FPGA又是51单片机和DSP的超集,也就是说,51单片机和DSP能实现的功能,FPGA都可以实现。与51单片机相比,FPGA运行速度快;管脚多,容易实现大规模系统;内部程序并行运行,有处理更复杂功能的能力;拥有大量软核,可以方便二次开发等优点。因此采用FPGA来作为主控芯片。设计选用的芯片是Altera公司的MAX II系列的EPM240T100C5N芯片如图3.1所示。图2.1 主控芯片MAX II器件系列简介Altera公司最新的MAX II系列,有史以来成本最低的CPLD,结合了FPGA和CPLD的优点,充分利用了4输入LUT体系结构的性能和密度优势,并且具有性价比较高的非易失性特性。用户可以利用MAX II CPLD将大量控制逻辑集成在单个器件中,从而降低了系统成本。MAX II器件系列是一种非易失性、即用性可编程逻辑系列,它采用了一种突破性的新型CPLD架构。这种新型架构的成本是原先MAX II器件的一半,功耗是其十分之一,密度是其四倍,性能却是其两倍。这些超级性能是在提供了所有MAX系列CPLD先进特性的架构的基础上,根据Altera专家们的意见而重新采用基于查找表的架构而得到的。这种基于查找表的架构在最小的I/O焊盘约束的空间内提供了最多的逻辑容量。因此,MAX II CPLD是所有CPLD系列产品中成本最低、功耗最小和密度最高的器件。基于成本优化的0.18微米6层金属Flash工艺,MAX II器件系列具有CPLD所有的优点,例如非易失性、即用性、易用性和快速传输延时性。以满足通用性,低密度逻辑应用为目标,MAX II器件成为接口桥接、I/O扩展、器件配置和上电顺序等应用最理想的解决方案。除这些典型的CPLD应用之外,MAX II器件还能满足大量从前在FPGA、ASSP和标准逻辑器件中实现的低密度可编程逻辑需求。MAX II器件提供的密度范围从240到2210个逻辑单元(LE),最多达272个用户I/O管脚。主芯片采用ALTERA MAX II系列的EPM240T100C5N(相当于8650门CPLD,容量是以前的EPM7128的两倍,并且可以烧写至少10万次以上)。MAX II CPLD体系结构,在所有CPLD系列中单位I/O成本最低,功耗最低。MAX II运用了低功耗的工艺技术,和前一代MAX器件相比,成本降低了一半,功率降至十分之一,容量增加了四倍,性能增加了两倍。标准JTAG下载口,防反插设计。可接ByteBlasterII和USB-Blaster下载电缆。EPM240T100C5N的芯片参数:宏单元数:192,输入/输出线数:80,传播延迟时间:5.9ns,整体时钟设定时间:2.7ns,频率:201.1MHz,电源电压范围:2.375V to 2.625V, 3V to 3.6V,工作温度范围:0C to +85C ,针脚数:100,封装类型:TQFP,工作温度最低:0C,工作温度最高:85C,逻辑芯片功能:CPLD,逻辑芯片基本号:EPM240T,可编程逻辑类型:CPLD ,输入/输出接口标准:LVTTL, LVCMOS, PCI。2.2驱动芯片方案选择我们常用到的电机驱动芯片有ULN2003和L298N所示这两款芯片。ULN2003驱动芯片如图3.2所示是大电流驱动阵列,多用于单片机、智能仪表、PLC、数字量输出卡等控制电路中。可直接驱动继电器等负载。 输入5V TTL电平,输出可达500mA/50V。它还是高耐压、大电流达林顿陈列,由七个硅NPN达林顿管组成并是一个非门电路,包含7个单元,但独每个单元驱动电流最大可达350mA。其最大的优点是具有电流增益高、工作电压高、温度范围宽、带负载能力强等特点,适应于各类要求高速大功率驱动的系统。经常在电路中使用作为显示驱动,继电器驱动,照明灯驱动,电磁阀驱动,直流电机、步进电机驱动等等。图3.2 ULN2003驱动芯片L298N如图3.3是ST公司生产的一种高电压、大电流电机驱动芯片。该芯片采用15脚封装。主要特点是:工作电压高,最高工作电压可达46V;输出电流大,瞬间峰值电流可达3A,持续工作电流为2A;额定功率25W。内含两个H桥的高电压大电流全桥式驱动器,可以用来驱动直流电动机和步进电动机、继电器线圈等感性负载;采用标准逻辑电平信号控制;具有两个使能控制端,在不受输入信号影响的情况下允许或禁止器件工作有一个逻辑电源输入端,使内部逻辑电路部分在低电压下工作;可以外接检测电阻,将变化量反馈给控制电路。使用L298N芯片驱动电机,该芯片可以驱动一台两相步进电机或四相步进电机,也可以驱动两台直流电机。其特点具有信号指示,转速可调,抗干扰能力强,可实现正反转,PWM脉宽平滑调速等等。图3.3 L298N驱动芯片与ULN2003芯片相比,L298N芯片内就集成有两个H桥型电路,可直接实现对直流电机正反转的控制。而ULN2003芯片内没有集成H桥型电路,驱动能力较弱,oc门输出高电平时,要上拉。基于L298N还具有具有过电压和过电流保护并且还可以实现PWM脉宽平滑调速等优点,所以选择L298N作为设计直流电机的驱动芯片。2.3键盘电路方案选择键盘(如图3.4所示)电路有两种类型,分别是独立式键盘电路和矩阵式键盘电路。 图a 独立键盘 图b 矩阵式键盘图3.4 键盘独立式键盘电路结构简单、操作方便,在目前这种结构的键盘应用还非常普遍。只是这种键盘电路的每个按键都要占用一根I/O口线,并且每个按键的工作都不会影响其他I/O口线的状态。但随着按键的增加将会使I/O口线不足。因此,这种键盘电路只有在按键比较少的情况下比较适用。矩阵式键盘电路的按键设置在行线和列线的交叉点上,连接方法有多种,可直接连接于主控芯片的I/O口线,也可利用扩展的并行I/O口连接,还可利用可编程的键盘、显示接口芯片进行连接。因此在有限的I/O口线上可以设置比较多的按键。只是这种键盘电路结构、编程都比较复杂。设计用到的按键并不多,只要5个按键就可以了,这些按键用来分别控制电机的启动,停止,正转,反转,加速和减速。故设计的键盘电路选用独立式键盘。2.4直流电机调速方案选择直流电机的调速方法有:电枢回路串电阻调速,降低电源电压调速,减弱磁通调速和PWM调速。电枢回路串电阻调速的特点:设备简单,操作方便,但调速的平滑性差,静差率大,调速范围小,转速的相对稳定性差,而且转速越低,所串电阻越大,损耗越大,效率越低,故现在已极少采用。降低电源电压调速的特点:电源电压能够平滑调节,可以实现无级调速,调速前后机械特性的斜率不变,硬度较高。负载变化时,速度稳定性好,电能的损耗较小,但是需要一套电压可连续调节的直流电源。减弱磁通调速的特点:由于在电流较小的励磁回路中进行调节,因而控制方便,能量损耗小,设备简单,而且调速平滑性好,经济性较好,但是机械特性的斜率变大,特性变软,转速的升高受到电机换向能力和机械强度的限制,因此升速范围不可能很大。PWM调速就是所谓的脉冲宽度调制,是指用改变电机电枢电压接通与断开的时间的的占空比来控制电机转速的方法。设计主要是利用PWM调速的方法来控制直流电机的速度,其调速原理是,脉冲宽度调制是一种模拟控制方式,其根据相应载荷的变化来调制晶体管栅极或基极的偏置,来实现开关稳压电源输出晶体管或晶体管导通时间的改变,这种方式能使电源的输出电压在工作条件变化时保持恒定,是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术。PWM控制技术以其控制简单,灵活和动态响应好的优点而成为电力电子技术最广泛应用的控制方式,也是人们研究的热点。脉冲宽度调制(PWM)是一种对模拟信号电平进行数字编码的方法。通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码。PWM信号仍然是数字的,因为在给定的任何时刻,满幅值的直流供电要么完全有(ON),要么完全无(OFF)。电压或电流源是以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的。通的时候即是直流供电被加到负载上的时候,断的时候即是供电被断开的时候。只要带宽足够,任何模拟值都可以使用PWM进行编码。PWM调速的方法又有几种,其中主要调速方法有两种,分别是改变驱动芯片使能端的电平和改变驱动芯片输入端的电平来改变占空比,以此来改变直流电机的运行速度。如果通过改变输入端的电平来调速,需要设置两个输入端口作为PWM端口,并且要分别对两个端口进行电平的调节才能达到调速的目的。而通过改变使能端的电平来调速,只需设置该使能端作为PWM端口就行了,并且只对这个使能端口进行电平调节就可以实现调速,从而在降低了设计的复杂性,简化了程序。因此,设计的PWM调速是采用通过改变驱动芯片使能端电平来实现调速。3、直流电机介绍3.1直流电机的特点图3.1 直流电机图直流电机(如图2.1)具有良好的调速性能和启动性能,具有宽广的调速范围,平滑的无级调速特性,可实现频繁的无级快速启动、制动和反转;过载能力大,能承受频繁的冲击负载;能满足自动化生产系统中各种特殊运行的要求。但直流电机也有它显著的缺点:一是制造工艺复杂,消耗有色金属较多,生产成本高;二是运行的时候由于电刷与换向器之间容易产生火花,所以可靠性比较差,维护比较困难。所以在一些对调速性能要求不高的领域中己被交流变频调速系统所取代。但是在某些要求调速范围大、快速性高、精密度好、控制性能优异的场合,直流电动机的应用目前仍然占有较大的比重。3.2直流电机的基本结构直流电机是由静止的定子和转动的转子两大部分组成,在定子和转子之间存在一个间隙,称做气隙。定子的作用是产生磁场和支撑电机,它主要包括主磁极、换向磁极、机座、电刷装置、端盖等。转子的作用是产生感应电动势和电磁转矩,实现机电能量的转换,通常也称做电枢。它主要包括电枢铁心、电枢绕组以及换向器、转轴、风扇等。其原理图如图2.2所示 图3.2 直流电机原理图3.2.1定子部分定子部分包括机座、主磁极、换向极和电刷装置等。1、机座机座有两个作用,一是作为电机磁路系统中的一部分,二是用来固定主磁极、换向极及端盖等,起机械支承的作用。因此要求机座有好的导磁性能及足够的机械强度和刚座,机座通常用铸钢或厚钢板焊成。2、主磁极在大多数直流电机中,主磁极是电磁铁,如图2.1的N、S就是主磁极,主磁极铁芯用11.5mm厚的低碳钢板叠加而成,整个磁级用螺钉固定在机座上。主磁极的作用是在定转子之间的气隙中建立磁场,使电枢绕组在此磁场的作用下感应电动势和产生电磁转矩。3、换向极换向极又称附加极或间极,其作用是以改善换向。换向极装在相邻两主磁极N、S之间,由铁心和绕组构成。铁芯一般用整块钢或钢板加工而成。换向极绕组与电枢绕组串联。4、电刷装置在图2.2中,A、B表示电刷。它的作用是把转动的电枢绕组与静止的外电路相连接,并与换向器相配合,起到整流或逆变器的作用。3.2.2转子部分直流电机的转子称为电枢,包括电枢铁芯、电枢绕组、换向器、风扇、轴和轴承等。1、电枢铁芯电枢铁芯是电机主磁路的一部分,且用来嵌放电枢绕组。为了减少电枢旋转时电枢铁芯中因磁通变化而引起的磁滞及涡流损耗,电枢铁心通常用0.5mm厚的两面涂有绝缘漆的硅钢片叠加而成。2、电枢绕组电枢绕组是由许多按一定规律连接的线圈组成,它是直流电机的主要电路部分,也是通过电流和感应电动势,从而实现机电能量转换的关键部件。线圈用包有绝缘的导线绕制而成,嵌放在电枢槽中。每个线圈(也称元件)有两个出线端,分别接到换向器的两个换向片上。所有线圈按一定规律连接成一闭合回路。3、换向器换向器也是直流电机的重要部件。在直流电动机中,它将电刷上的直流电流转换成绕组内的交流电流;在直流发电机中,它将绕组内的交流电动势转换成电刷端上的直流电动势。换向器由许多换向片组成,每片之间相互绝缘。换向片数与线圈元件数相同。3.3直流电机的工作原理直流电机的工作原理建立在电磁力和电磁感应的基础上,把电刷A、B接到一直流电源上,电刷A接到电源正极,电刷B接电源的负极,此时在线圈abcd中将有电流流过。在N极范围内的导体ab中的电流是从a流向b,在S极范围内的导体cd中的电流是从c流向d。因为载流导体在磁场中要受到电磁力的作用,因此,ab和cd两导体都要受到电磁力F的作用。根据磁场方向和导体中的电流方向,利用电动机左手定则判断,ab边受力的方向是向左,而cd边则是向右。由于磁场是均匀的,导体中流过的又是相同的电流,所以,ab边和cd边所受电磁力的大小相等。这样,线圈上就受到了电磁力的作用而按逆时针方向转动了。当线圈转到磁极的中性面上时,线圈中的电流等于零,电磁力等于零,但是由于惯性的作用,线圈继续转动。线圈转过半周之后,虽然ab与cd的位置调换了,ab边转到S极范围内,cd边转到N极范围内,但是,由于换向片和电刷的作用,转到N极下的cd边中电流方向也变了,是从d流向c,在S极下的ab边中的电流则是从b流向a。因此,电磁力Fdc的方向仍然不变,线圈仍然受力按逆时针方向转动。可见,分别处在N、S极范围内的导体中的电流方向总是不变的,因此,线圈两个边的受力方向也不变,这样,线圈就可以按照受力方向不停的旋转了,这就是直流电机的工作原理。3.4直流电机主要技术参数为了使电机安全可靠地工作,且保持优良的运行性能,电机厂家根据国家标准及电机的设计数据,对每台电机在运行中的电压,电流,功率,转速等规定了保证值,这些保证值就是直流电机的主要技术参数,直流电机的主要技术参数有:直流电机的转速,是指电机实际转动的速度n; n =(Ua-IR)/Ce (2.1)式2.1中Ua为电枢电动势,Ce为电动势常数,是磁通量。额定功率(容量)PN,是指电刷输出的电功率,单位为kW; PN=UNIN (2.2)式2.2中,额定电压UN,指额定状态下电枢出线端的电压,单位为V;额定电流IN,指电机在额定电压、额定功率时的电枢电流值,单位为A;额定转速N,指额定状态下运行时转子的转速,单位为r/min; N =PN/UNIN (2.3)实际中,直流PWM-M调速系统近年来发展很快,直流PWM-M调速系统采用全控型电力电子器件,调制频率高,与晶闸管直流调速系统相比动态响应速度快,电动机转矩平稳脉动小,有很大的优越性,因此在小功率调速系统和伺服系统中的应用越来越广泛。本系统主要介绍双极式直流PWM-M可逆调速系统。它在原来直流PWM-M的系统的基础上增加了转速调节器ASR和电流调节器ACR,ASR和ACR都采用带输出限幅的PI调节器。调节器参数取值见表3.1。仿真模型如图3.3所示表3.1 直流PWM可逆系统调节器参数参数ASRACR放大倍数积分时间常数调节器输出限幅转速反馈数电流反馈系数图3.3 直流PWM-M可逆调速系统仿真模型仿真结果如图3.4所示,从图中可以看到系统从正转起动至反转运行过程中,转速(见图3.4a)和电枢电流(见图3.4b)对转速给定的响应波形。在仿真中取电流过载倍数,因此电动机的正转起动和制动、反转起动过程中始终保持着最大电流12A左右。在正反转转速达到额定值2400r/min后,电流下降4A左右。图3.4c为转速调节输出,即电流的给定信号,图3.4d电流调节器的输出信号Uct。 图3.4a 电动机转速 图3.4b 电动机电枢电流 图3.4c 电流给定 图3.4d 电流调节器输出图3.4 直流PWM可逆系统仿真结果4、硬件电路设计4.1整流稳压电路图4.1 整流稳压电路整流稳压电路(如图4.1所示)是由二极管、电容、电阻和集成稳压器等电子元器件构成。二极管是一个由P型半导体和N型半导体形成的PN结,在其交界面两侧形成空间电荷层,并建有自建电场。当一存在外加电压时,由于PN结两边载流子浓度差产生扩散电流和自建电场所引起的漂移电流相等而处于电平衡状态。当外界有正向电压偏置时,外界电场和自建电场的互相抑制作用使载流子的扩散电流增大引起正向电流。当外界有反向电压偏置时,外界电场和自建电场进一步加强,形成在一定反向电压范围内与反向偏置电压值无关的反向饱和电流。当外加的反向电压调到一定程度时,PN结空间电荷层中的电场强度达到临界值而产生载流子的倍增过程,进而产生大量电子空对,由此产生了数值很大的反向击穿电流。二极管最重要的特性就是单向导电性,在电路中,电流只能从二极管的正极流入,负极流出。电容是表现电容器容纳电荷本领的物理量,是一种无源元件。应用于电源电路,实现旁路、去耦、滤波和储能作用。应用于信号电路,主要完成耦合、振荡、同步及时间常数的作用。本电路主要介绍电容的滤波作用,从理论上说,电容越大,阻抗越小,通过的频率也越高。但实际上超过1uF的电容大多为电解电容,有很大的电感成分,所经频率高了反而阻抗会增大。有时会看到电容量较大的电解电容并联了一个小电容,这时大电容通低频,小电容通高频。电容的作用就是通高频阻低频。电容越大低频越容易通过,电容越小高频越容易通过。电容滤波实际上就是电容的充电和放电过程。集成稳压器一般有三个端子:输入端、输出端和公共端。输入端接整流滤波电路,输出端接负载,公共端接输入、输出的公共连接点。其内部由采、基准、放大、调整和保护等电路组成。保护电路具有过流、过热及短路保护功能。整流稳压电路的主要功能是:输入220V的交流电通过变压器变压得到12V的交流电,12V的交流电通过四个整流二极管构成桥式整流电路,输出12V的直流电,但此时的直流还是含有交流成份,通过大电容滤波和小电容改善电压波纹得到较好的12V直流电供给电机和驱动芯片L298N使用,12V直流电通过7805稳压器稳压和电容滤波得到5V的直流电供给驱动芯片L298N的控制电压Vss,5V直流电再通过稳压器ASM1117_3.3V稳压得到3.3V的直流电供给主控芯片正常工作。4.2起振电路起振电路(如图4.2所示)主要由晶体振荡器组成,简称晶振。在电气上它可以等效成一个电容和一个电阻并联再串联一个电容的二端网络,电工学上这个网络有两个谐振点,以频率的高低分其中较低的频率是串联谐振,较高的频率是并联谐振。由于晶体自身的特性致使这两个频率的距离相当的接近,在这个极窄的频率范围内,晶振等效为一个电感,所以只要晶振的两端并联上合适的电容它就会组成并联谐振电路。这个并联谐振电路加到一个负反馈电路中就可以构成正弦波振荡电路,由于晶振等效为电感的频率范围很窄,所以即使其他元件的参数变化很大,这个振荡器的频率也不会有很大的变化。晶振有一个重要的参数,那就是负载电容值,选择与负载电容值相等的并联电容,就可以得到晶振标称的谐振频率。 图4.2 起振电路主控芯片系统里都有晶振,系统里晶振作用非常大,它的全称叫晶体振荡器,它结合主控芯片内部电路产生所需的时钟频率,晶振时钟频率越高,其运行的速度就越快,比如 ,12M晶振,其工作速度就是每秒 12M。和电脑的 CPU概念一样。主控芯片的一切指令的执行都是建立在晶振提供的时钟频率。在通常工作条件下,普通的晶振频率绝对精度可达百万分之五十,高级的精度更高。有些晶振还可以由外加电压在一定范围内调整频率,称为压控振荡器。晶振用一种能把电能和机械能相互转化的晶体在共振的状态下工作,以提供稳定,精确的单频振荡。因此晶振的作用是为系统提供基本的时钟信号。通常一个系统共用一个晶振,便于各部分保同步,有些通讯系统的基频和射频使用不同的晶振,而通过电子调速频率的方法保持同步。4.3复位电路复位电路(如图4.3所示)主要有一个按键开关和一个电阻构成。在上电或复位过程中,控制CPU的复位状态:这段时间内让CPU保持复位状态,而不是一上电或刚复位完毕就工作,防止CPU发出错误的指令、执行错误操作,也可以提高电磁兼容性能。无论用户使用哪种类型的主控芯片,总要涉及到复位电路的设计。而复位电路设计的好坏,直接影响到整个系统工作的可靠性。本设计主要通过手动按钮复位,把电平给拉低。当系统出现“死机”、“程序跑飞”或“卡住”等等,按下复位按钮可以使系统重新开始,回到最初的运行的状态。图4.3 复位电路4.4键盘电路图4.4 键盘电路本设计系统的命令输入模块是键盘电路(如图4.4所示)和时钟电路,通过以按键的方式向FPGA控制系统表达人的命令来实现直流电机的启动、正转、反转、停止和加减速,实现人机互换。SW1是电机的开启键或停止键,就是当SW1键一开始按下,直流电机就开始启动工作,再按一次SW1键,直流电机就停止运行。SW2键和SW3键分别是直流电机正转键和反转键。SW4键和SW5键分别是直流电机的加速键和减速键。例如,当直流电机正在工作时,当按下时SW4键时,直流电机的转速将会增加一点,每按一次SW4加速键,直流电机的转速都会增加一点,直到转速达到最大为止。同理,在直流电机工作时,每按一次SW5减速键,直流电机的转速都会减少点,一直到转速减到零为止。在键盘电路设计中,需要解决按键抖动的问题。多数键盘的按键均采用机械弹性开关,一个电信号通过机械触点的断开、闭合过程,完成高低电平的切换。由于机械触点的弹性作用,一个按键开关在闭合和断开的瞬间必然伴随一连串的抖动。为了排除抖动的影响,在按键和输出端并上一个电阻并把电拉高。4.5直流电机驱动电路设计的驱动电路(如图4.5所示)可以驱动两个直流电机的,由于本设计只用一个直流电机,所以就画了一组。通过程序来控制输入端in1、in2、EN_A的电平高低来控制输出端out1和out2电平的变化来控制直流电机的启动、停止、正转、反转。图4.5 驱动电路由于驱动芯片L298N内部本身就集成了2个H型全桥驱动电路来控制直流电机的正反转,其H型全桥驱动电路(如图4.6)和工作原理如下:图4.6 H型全桥驱动电路H型全桥式驱动电路的4只三极管都工作在斩波状态,Q1、Q4为一组,Q2、Q3为另一组,两组的状态互补,一组导通则另一组必须关断。当Q1、Q4导通时,Q2、Q3关断,电机两端加正向电压,可以实现电机的正转或反转制动;当Q2、Q3导通时,Q1、Q4关断,电机两端为反向电压,电机反转或正转制动。在直流电机运转的过程中,我们要不断地使电机在四个象限之间切换,即在正转和反转之间切换,也就是在Q1、Q4导通且Q2、Q3关断,到Q1、Q4关断且Q2、Q3导通,这两种状态之间换。L298N驱动芯片对直流电机控制的逻辑真值表如表4.1所示:表4.1 L298N的逻辑真值表EN_Ain1in2动行状态0XX停止110正转101反转111刹停100停止对于直流电机的加减速,设计采用PWM调速。硬件电路上,本设计主控芯片第15脚,16脚和17脚的I/O口分别接到L298N驱动芯片的in1,in2和EN_A中,通过改变主控芯片第15,16脚的I/O口上的高低电平变化以控制直流电机的方向,通过改变第17脚I/O口上的高低电平的占空比来控制直流电机的转速。4.5总电路原理图及实物图设计的总的电路原理图和实物图分别如图4.7和图4.8所示。图4.7 原理图图4.8 实物图5、软件设计 5.1控制逻辑Verilog HDL描述硬件描述语言(HDL)是EDA技术的重要组成部分,常见的HDL主要有VHDL、Verilog HDL、ABEL、AHDL、SystemVerilog和SystemC。其中VHDL、Verilog HDL在现在的EDA设计中使用最好,也获得了几乎所有主流EDA工具的支持。VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化硬件设计任务,提高设计效率和可靠性。VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面表现出了强大的生命力和应用潜力。用VHDL进行电子系统设计的一个很大的优点是设计者可以专心致力于其工能的实现,而不需要对不影响功能的、与工艺有关的因素花费过多的时间和精力。Verilog HDL是一种硬件描述语言,用于从算法级、门级到开头的多种抽象设计层次的数字建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显示地进行时序建模。其语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,其语言提供了编程语言接口,通过该接口可以在模拟、验证期间从事外部访问设计,包括模拟的具体控制和运行。Verilog HDL语言不仅定义了语法,而且对每个结构都定义了清晰的模拟、仿真语义。因此,用这种语言编写的模型能够使用Verilog仿真器进行验证。Verilog HDL语言从C编程语言中继承了多种操作符和结构,其语言的核心子集非常易于学习和使用。与VHDL言语相比,Verilog HDL语言获得了较多的第三方工具的支持,语法结构比较简单,学起来也比较容易,功能非常强大。因此使用此语言设计数字系统是一种趋势,大到复杂的CPU,小到一些简单的逻辑门,并且它非常类似于C语言,非常灵活,易学易用。所以设计采用Verilog HDL作为编程的硬件语言。Verilog HDL硬件语言的主要功能如下:基本逻辑门,例如and 、or 和nand等都内置在语言中;开关级基本结构模型,例如pmos和nmos等也被内置在语言中;可采用三种不同方式或混合方式对设计建模。这些方式包括:行为描述方式使用过程化。 结构建模;数据流方式使用连续赋值语句方式建模;结构化方式使用门和模块实例语句描述建模。Verilog HDL中有两类数据类型:线网数据类型和寄存器数据类型。线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。能够描述层次设计,可使用模块实例结构描述任何层次。 设计的规模可以是任意的;语言不对设计的规模(大小)施加任何限制。Verilog HDL不再是某些公司的专有语言而是I E E E标准。 人和机器都可阅读Verilog语言,因此它可作为E D A 的工具和设计者之间的交互语言。 设计能够在多个层次上加以描述,从开关级、门级、寄存器传送级(RTL)到算法级。 能够使用内置开关级原语在开关级对设计完整建模。 同一语言可用于生成模拟激励和指定测试的验证约束条件,例如输入值的指定。 Verilog HDL 能够监控模拟验证的执行,即模拟验证执行过程中设计的值能够被监控和显示。这些值也能够用于与期望值比较,在不匹配的情况下,打印报告消息。 在行为级描述中,Verilog HDL不仅能够在RTL级上进行设计描述,而且能够在体系结构级描述及其算法级行为上进行设计描述。 还能够使用门和模块实例化语句在结构级进行结构描述。 对高级编程语言结构,例如条件语句、情况语句和循环语句,语言中都可以使用。5.2 FPGA开发环境的介绍Quartus II是Altera提供的FPGA/CPLD集成开发环境。Altera是世界上最大的可编程逻辑器件供应商之一。Quartus II在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+plus II的更新换代产品,其界面友好,使用便捷。在Quartus II上可以完成设计数字系统的整个流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、综合、适配和器件编程。Altera的Quartus II设计工具完全支持VHDL和Verilog HDL,其内部嵌有VHDL、Verilog HDL逻辑综合器。FPGA的开发流程如图5.1所示。设计输入综合适配时序分析仿真约束下载 图5.1 FPGA开发流程图5.3建立工程项目本设计主要用到Quartus II软件进行编程、仿真和下载。通过仿真,能迅速定位电路系统的错误所在,并随时纠正。能对设计方案作随时更改,并储存设计过程中所有电路和测试文件。将不会有任何器件损坏和损耗,符合现代电子设计技术规范。下面介绍基本的设计步骤:(1)双击桌面上的Quartus II图标,打开Quartus II软件。也可以通过“开始程序AlteraQuartus II”打开。(2)选择“FileNew Project Wizard.”打开对话框后,在对话框中选择“Next” 。(3)单击“Next”选择编辑工程位置、工程名称和顶层模块名称,见图5.2图5.2 选择编辑工程(4)连续单击两次“Next”选择器件类型,界面见图5.3图5.3选择器件类型(5)选择好器件,继续单击“Next”后出现项目汇总信息,然后点击Finish。(6)选择“FileNew”打开新建文件类型选择窗口,选择编程语言Verilog HDL File界面见图5.4图5.4 选择编程语言(7)单击“OK”,至此,工程项目才算是完全建好,我们可以在新建的工程上编写程序,仿真和下载程序。但有一点要非常注意,在写编写程序的过程中,module后面所定义的文件一定要保持与之前新建的文件名一致,否则在编译的过程中会出现错误。除此之外,还可以在此软件上建模,选择“FileNew”打开新建文件类型选择窗口,选择Block Symbol File进行建模,界面见图5.5图5.5 选择建模5.4直流电机控制仿真图在编写程序,设计设置了7个输入端口和3个输出端口。7个输入端口中包括1个固定脉冲输入和6个按键输入rst,start,zheng,fan,jia,jian。分别代表复位键,启停键,正转键,反转键,加速键和减速键。输出端口out1,out2和out3分别连接到L298N驱动芯片的第5、7、6脚,即in1,in2和EN_A。通过对输入端口的控制来反应输出端口值的变化,以达到控制电机的目的。5.4.1 直流电机启动及停止控制仿真当启停键按下时,直流电机启动运行,再次按下时,直流电机停止运行,其仿真结果如图5.5所示。当启停键start为高电平1时,输出端口out1,out2和out3的电平为101,当启停键为低电平0时,输出端口out1,out2和out3的电平为001,完全符合L298N驱动直流电机启动和停止运行状态的逻辑真值表。图5.5 直流电机启动仿真图5.4.2 直流电机正转控制仿真当正转键按下时,其仿真结果如图5.6所示。此时, zheng为高电平1代表正转键已按下,输出端口out1,out2和out3的电平为101,符合L298N驱动直流电机正转运行状态的逻辑真值表,此时直流电机正转运行。图5.6 直流电机正转仿真图5.4.3 直流电机反转控制仿真当反转键按下时,其仿真结果如图5.7所示。此时,fan为高电平1,代表反转键已按下,输出端口out1,out2和out3的电平为011,符合L298N驱动直流电机反转运行状态的逻辑真值表,此时直流电机反转运行。图5.7 直流电机反转仿真图5.5仿真图分析利用Quartus II软件,使用Verilog HDL语言编写的程序,经过程序仿真得出仿真结果与L298N驱动芯片控制直流电机的逻辑真值表即表4.5一致。由于本设计的程序编写是根据L298N驱动芯片控制直流电机的逻辑真值来编写,所以在控制直流电机的加减速时不能仿真出来。但是通过另外一个程序可以把直流电机的PWM调速仿真出来,该程序主要是通过改变直流电机电枢电压接通与断开时间的比来控制直流电机转速。图5.10、图5.11和5.12分别为占空比为20%、50%和80%的PWM调速仿真图。其中start、z_f、pwm、z和f分别代表电机的启停开关、正反转开关、PWM调节器、正转输出和反转输出。图5.10 占空比为20%的仿真图图5.11 占空比为50%的仿真图图5.12 占空比为80%的仿真图设计主要通过编写程序以周期的5%调节占空比来控制直流电机调速,直到直流电机的速度达到最大或为零。5.6总设计流程 设计的总流程主要通过键值的变化来反应直流电机的运行状态,如图5.13所示。开始系统初始化启停键是否按下电机启动运行正/反转键是否按下电机正/反转运行加/减速键是否按下电机加/减速运行启停键是否按下电机停止运行结束NNNNYYYY图5.13 系统总流程图6、实物调试设计采用的电机是一般小型的直流电机,其参考电压为3到18伏,参考电流为500到1600毫安。在直流电机的驱动模块做好后,就进行实物调试。由于L298N驱动电路正常工作电压VCC在2.5到16伏之间,控制电压Vss在4.5到7伏之间,一般取5伏。刚开始,给L298N驱动模块提供一个给定5伏的电压,发现所给的电压太小了,根本就驱动不了直流电机。随着给定电压提高到6伏左右,驱动模块可以驱动直流电机运行了,但效果不好,要加速加到接近最大转速时,直流电机才开始转动起来。当给定电压达到9伏时,效果比5伏好很多,但调速到最高时,转速还不太高。给定电压提高到12伏时,基本上达到了要求,并且12伏电源比较容易做。于是确定以12伏电压作为L298N驱动模块的给定电压。以下表6.1为直流电机调速时,各占空比所对应的输出电压。表6.1 各占空比所对应的输出电压占空比5%10%15%20%25%电压(V)0.621.221.842.423.01占空比30%35%40%45%50%电压(V)3.634.224.795.385.98占空比55%60%65%70%75%电压(V)6.567.167.768.378.96占空比80%85%90%95%100%电压(V)9.5710.1610.7511.3311.87从表6.1中可以看出,占空比每增加5%,输出电压基本上增加0.6伏,符合设计调速的要求。7、总结对于这次毕业设计,刚开始拿到选题时,什么是FPGA,它有什么功能等等,几乎都不懂。唯有一切从零开始,学习FPGA这门学科和Verilog HDL硬件编程语言,通过几个星期的学习,基本掌握其中的入门方法。对FPGA和Verilog HDL入门之后,我就对设计进行查阅资料,收集资料、在消化资料的基础上提出工程技术方案,并对设计方案进行论证。方案认证完后,就开始动手做设计,在这段设计的过程中,遇到很多的困难。FPGA技术是一门较新的学科,这方面的资料不是很多,所有的一切只有靠自己去摸索,这是一个比较漫长艰辛的过程。在求助于老师、理清思路后,于是到图书馆、网上查阅资料,比如怎样实现电机的正转和反转、加速和减速等等。通过查资料了解了直流电机工作原理及用途、PWM控制技术、键盘电路接口技术、时钟电路技术等等,同时也让我学习现代电子产品的设计流程,为以后从事产品开发打好了基础。参考文献1邱关源 编著 电路第四版 高等教育出版社 2008.2胡宴如、耿苏燕 编著 模拟电子技术基础 高等教育出版社 2008.3余孟尝 编著 数字电子技术基础简明教程 高等教育出版社 2008.4葛亚明 编著 零基础学FPGA 机械工业出版社 2010.5贺敬凯编著 Verilog HDL数字设计教程 西安电子科技大学出版社 2010.6吴厚航 编著 深入浅出玩转FPGA北京航空航天大学出版社 2010.7许建国 编著 电机与拖动基础 高等教育出版社 2009. 8陈伯时 编著 电力拖动自动控制系统 机械工业出版社 2004.9浣喜明 姚为正 编著 电力电子技术 高等教育出版社 2011.10姚远 李辰 编著 FPGA应用开发入门与典型实例 人民邮电出版社 2010.11葛亚明 彭永丰 薛冰 编著 零基础学FPGA 机械工业出版社 2010.12张延伟 杨金岩 葛爱学 编著 Verilog HDL程序设计实例详解 人民邮电出版社 2008.13陈赜 邹道胜 朱如琪 编著 CPLD/FPGA与ASIC设计实践教程 科学出版社2010.14冼进 戴仙金 潘懿萱 编著 Verilog HDL数字控制系统设计实例 中国水利水电出版社 2007.15罗苑棠 编著 CPLD/FPGA常用模块与综合系统设计实例精讲 电子工业出版社2007.16杜慧敏,李宥谋,赵全良编著 基于Verilog的FPGA设计基础 西安电子科技大学出版社 2006.致谢本设计是在我的指导教师陈朝大讲师的亲切关怀和悉心指导下完成的。设计从选题到完成,每一步都是在导师的指导下完成的,倾注了导师大量的心血。陈老师严谨细致、一丝不苟的作风一直是我工作、学习中的榜样,他循循善诱的教导和不拘一格的思路给予我无尽的启迪。导师渊博的专业知识,严谨的治学态度,诲人不倦的高尚师德,宽以待人的崇高风范,平易近人的人格魅力对我影响深远。不仅使我树立了远大的学术目标、掌握了基本的研究方法,还使我明白了许多待人接物与为人处世的道理。在此,我对陈老师表示崇高的敬意和衷心的感谢!其次,也感谢电气工程系的各位老师给我提供专业知识的指导和日常生活上的关怀,由衷的感激他们的帮助和指点!最后感谢广东技术师范学院天河学院在大学四年来对我的大力栽培和照顾。 附录源程序如下:module keyscan (clk_50M,rst,start,zheng,fan,jia,jian,out1,out2,out3);inputclk_50M;/输入时钟50Minputrst; /复位键inputzheng; /正转键inputfan; /反转键inputjia; /加速键inputjian;/减速键inputstart;/启停键outputout1; /298 in1outputout2; /298 in2outputout3; /298 enA/
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 成人自考


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!