ISP器件的设计与应用.ppt

上传人:max****ui 文档编号:3387757 上传时间:2019-12-13 格式:PPT 页数:28 大小:2.84MB
返回 下载 相关 举报
ISP器件的设计与应用.ppt_第1页
第1页 / 共28页
ISP器件的设计与应用.ppt_第2页
第2页 / 共28页
ISP器件的设计与应用.ppt_第3页
第3页 / 共28页
点击查看更多>>
资源描述
第四阶段实验ISP器件的设计与应用,一、实验目的,二、实验内容与要求,三、ISP器件的开发流程,五、设计举例,四、EDAPro2K实验系统介绍,ISP器件的设计与应用,掌握可编程逻辑器件的应用开发技术设计输入、编译、仿真和器件编程;熟悉一种EDA软件使用;初步掌握VerilogHDL语言的编程方法;掌握层次化的设计方法。,一、实验目的,练习1十进制计数器(举例)用原理图构成一个有清零和使能功能的十进制计递增数器(建议用74161宏模块)编译和仿真分配引脚并再次进行编译下载,二、实验内容与要求(共4周),练习2大小比较器和60进制计数器输入大小比较器的原理图(见实验四十六图10.46.1)编译和仿真自己完成60进制计数器设计与仿真,ISP器件的设计与应用,二、实验内容与要求,练习3篮球24秒定时器的设计(举例)实验要求参见p241实验三十三(图8.33.1)用VerilogHDL描述24秒定时器的功能编译和仿真引脚分配并再次进行编译下载练习4数字钟电路的设计(自己完成),ISP器件的设计与应用,基本要求:(见教材289页,要求自己完成)具有“秒”、“分”、“时”计时功能,小时按24小时制计时。具有校时功能,能够对“分”和“小时”进行调整。具有整点报时功能。在59分51秒、53秒、55秒、57秒发出低音512Hz信号,在59分59秒时发出一次高音1024Hz信号,音响持续1秒钟,在1000Hz音响结束时刻为整点。外电路提供3路时钟信号(2048Hz/1024Hz/1Hz)和译码显示电路。选做内容:小时改为12进制,即由112。闹钟,数字钟电路设计(实验五十一),三、ISP器件的开发流程,四、EDAPro2K实验系统介绍,可用资源8个数码显示(含8421译码)可显示09,AF8个LED发光管显示1个带驱动的小型扬声器(蜂鸣器)8个按键4组时钟源,可用资源使用方法引脚分配(锁定),可用资源使用方法引脚分配(锁定),1.十进制计数器的原理图设计,进入MAXPLUSII软件,点击,新建一个原理图文件(选GraphicEditorfile),按下页图输入原理图;,双击空白处,输入74161,回车,点击左键,放元件;,重复上述操作,放元件与非门(NAND2)、参考地(GND)、输入/输出引脚(INPUT/OUTPUT);,双击引脚名称处,更改引脚名称;,选择所用器件:Assign/Device-选FLEX10K系列EPF10K10LC84-4;,存盘。注意:必须存在某一个文件夹中。,A.输入原理图文件:,五、设计举例,1.十进制计数器的原理图设计,B.编译原理图文件:,将当前的原理图文件设置成为当前的工程:选File/Project/SetProjecttoCurrentFile(或按Ctrl+Shift+J);,编译当前工程中的所有文件:MAX+plusII/compiler;,点击Start按钮,开始编译。,1.十进制计数器的原理图设计,C.对设计进行仿真:,新建一个波形文件:点击,选择波形编辑器;,1.十进制计数器的原理图设计,点击OK按钮,进入波形编辑器;,C.对设计进行仿真:,1.十进制计数器的原理图设计,从网表中加入输入、输出信号节点到当前的文件:选Node/EnterNodesfromSNF;,(1)点击List,列出所有信号,(2)点击,选出需要的输入、输出信号,(3)点击OK,确定,C.对设计进行仿真:,1.十进制计数器的原理图设计,选择栅格尺寸:选Options/Gridsize;,将选定信号的值设为0,设定仿真时间长度:选File/Endtime(此处设定为30us);,利用左边的快捷图标,编辑输入(节点)信号的波形;,将选定信号的值设为1,任意值x,高阻值z,对选中的信号取反,设定计数器的时钟信号clk,设定总线信号,C.对设计进行仿真:,1.十进制计数器的原理图设计,可参考下图设定输入信号:,选用默认的文件名存盘;,仿真:选MAX+plusII/Simulator,在弹出的对话框中点击Start开始仿真,再点击OpenSCF,可看到仿真波形。,C.对设计进行仿真:,按住shift键,选中QD、QC、QB、QA4个信号,然后点击右键,选EnterGroup,输入总线名称Q3.0,可以看到以总线形式显示的波形。,1.十进制计数器的原理图设计,D.分配输入、输出信号在器件上的引脚号:,1.十进制计数器的原理图设计,选MAX+plusII/FloorplanEditor,进入版图编辑环境;,选Layout/DeviceView,得到芯片的引脚图;,D.分配输入、输出信号在器件上的引脚号:,选Layout/CurrentAssignmentsFloorplan,以当前的引脚分配作为标准;,根据实验板外接资源进行引脚分配,方法是:选中右上方待分配的引脚,按住左键并拖放到相应的引脚上去。按Delete键,可删除错误的分配。,D.分配输入、输出信号在器件上的引脚号:,引脚分配的结果:CLK3,CLRN8,EN9,QA21,QB22,QC23,QD24;,引脚分配完成后,重新编译一次,则分配的引脚生效(选MAX+plusII/Compiler)。,E.对器件进行编程:,选MAX+plusII/Programmer,弹出编程对话框;,检查编程文件名(t161.sof)和器件(EPF10K10LC84-4)是否正确;,若正确,接上硬件后,点击Configure按钮,直接对器件编程。,若错误,选File/SelectProgrammingFile,重新选编程文件。,2.十进制计数器的VerilogHDL设计,/*带有异步清零功能的十进制计数器*/moduleCNT10(nclr,clk,Q)inputclk,nclr;output3:0Q;reg3:0Q;always(posedgeclkornegedgenclr)beginif(!nclr)Q=4b000;/clearelseif(Q=9)Q=4b000;elseQ=Q+1;endendmodule,3.篮球24秒定时器的VerilogHDL设计,(1)具有显示24S(24秒)计时功能;(2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;(3)计时器为24S递减计时器,其计时间隔为1S;(4)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。,设计要求:,VerilogHDL程序参考下页:,modulebasketball30(TimerH,TimerL,alarm,clk,nclr,nload,nstop);inputclk,nclr,nload,nstop;wireclk,nclr,nload,nstop;output3:0TimerH,TimerL;reg3:0TimerH,TimerL;outputalarm;always(posedgeclkornegedgenclrornegedgenstopornegedgenload)beginif(!nclr)TimerH,TimerL=8h00;/clearelseif(!nload)TimerH,TimerL=8h24;/Loadnumberelseif(!nstop)TimerH,TimerL=TimerH,TimerL;/stopcounterelseif(TimerH,TimerL=8h00)/ifTimer=0,hold0no_changebeginTimerH,TimerL=TimerH,TimerL;endelseif(TimerL=0)beginTimerH=TimerH-1;TimerL=9;endelsebeginTimerH=TimerH;TimerL=TimerL-1;endendassignalarm=(TimerH,TimerL=8h00)/alarmendmodule,在MAX+plusII中实现篮球24秒注意事项:,(2)存盘时,选用.v作为VerilogHDL的扩展名,且文件名必须与模块名相同;,(1)输入VerilogHDL源程序时,使用文本编辑器,方法如下:,进入MAXPLUSII软件,点击,新建一个文本文件(选TextEditorfile);,(3)编译、仿真、引脚分配与原理图输入时相同。,2006-2007学年第一学期考试安排,考试时间:第21周星期天(1月21日)(晚上):7:00-8:30,考试内容,开卷考试,1.仪器使用(特别是示波器、电源的使用)2.实验原理(模拟电路部分和数字电路部分)模拟电路部分:放大电路数字电路部分:3.设计题(ISP、MAX+PlusII使用)已知电路的输入、输出波形,用硬件描述语言程序实现(如Verilog程序、VHDL程序、ABEL程序)或图形方式设计结果。MAX+PlusII使用,预祝大家取得好的成绩!,
展开阅读全文
相关资源
相关搜索

当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!