交通灯课程设计论文 交通灯设计

上传人:文*** 文档编号:64566878 上传时间:2022-03-21 格式:DOCX 页数:12 大小:392.25KB
返回 下载 相关 举报
交通灯课程设计论文 交通灯设计_第1页
第1页 / 共12页
交通灯课程设计论文 交通灯设计_第2页
第2页 / 共12页
交通灯课程设计论文 交通灯设计_第3页
第3页 / 共12页
点击查看更多>>
资源描述
数字系统设计交通灯设计姓 名:学 号:指导老师:专 业:院 系:交通灯设计摘要设计一个具有四种信号灯的交通灯控制器。由一条主干道和一条 支干道汇合成十字路口,在每个入口处设置红、绿、黄、左拐允许四 盏信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的 车辆有时间停在禁行线外,左拐灯亮允许车辆向左拐弯。信号灯变换 次序为:主支干道交替允许通行,主干道每次放行40秒,亮5秒红灯让行驶中的车辆有时间停到禁行线外,左拐放行15秒,亮5秒红灯;支干道放行30秒,亮5秒黄灯,左拐放行15秒,亮5秒红灯, 各计时电路为倒计时显示。关键词:LED灯、数码管一简介随着世界范围内城市化和机动化进程的加快,城市交通越来越成为一个全球化的问题。城市交通基础设施供给滞后于高速机动化增长 需求,道路堵塞日趋加重,交通事故频繁,环境污染加剧等问题普遍 存在。目前,全国大中城市普遍存在着道路拥挤、车辆堵塞、交通秩 序混乱的现象,交通事故频发,这给人民的生命财产安全带来了极大 的损失。如何解决城市交通问题已成为全社会关注的焦点和大众的迫 切呼声。探究城市交通发展中存在问题的原因,无论是从宏观上还是从微观上分析,其根本原因在于城市交通系统的管理机制不适应。城市交通控制系统(UTC , Urban Traffic Control System )是现代城 市智能交通系统(IDJ , Intelligent transport system)的组成之 一,主要用于城市道路交通的控制与管理。城市平交路口实现交通信号控制是城市交通管理现代化的基本标志之一,是提高交通管理效能 的重要技术手段。路口信号控制器是控制交叉路口交通信号的设备, 它是交通信号控制的重要组成部分。 各种交通控制方案,最终都要由 路口信号控制器来实现。为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。伴随着社会的发 展以及人类生活水平的提高,汽车的数量在不断增加,EDA技术的发 展和应用领域也在不断的扩大与深入, 机械、电子、通信、航空航天、 化工、矿产、生物、医学、军事等各个领域的重要性日益突出。利用 ED世术设计交通灯来完成这个需求就显的更加迫切,同样也是非常的实用和合理。通过分析可以知道,所要设计的交通信号灯控制电路要能够适 用于由一条主 干道和一条支干道的汇合点形成的十字交叉路口。能 够做到主、支干道的红绿灯闪亮的时间不完全相同, 在绿灯跳变红灯 的过程中能够用黄灯进行过渡,使得行驶过程中的车辆有足够的时间 停下来。还要求在主、支干道各设立一组计时显示器,能够显示相应 的红、黄、绿倒计时。可以利用 VHDL言合理设计系统功能,使红 黄绿灯的转换有一个准确的时间间隔和转换顺序二系统整体设计分析实现路口交通灯系统的控制方法很多, 可以用标准逻辑器件、可 编程序控制器和单片机等方案来实现。若用单片机方案来实现的话,模型可以由电源电路、单片机主控 电路、无线收发控制电路和显示电路四部分组成。在电源电路中,需 要用到+5V的直流稳压电源,无线收发控制电路和显示电路应由编码 芯片和数据发射模块两部分组成,主控电路的主要元件为AT89C51硬件设计完成后还要利用计算机软件经行软件部分的设计才能够实 现相应的功能。虽然利用单片机系统设计的交通灯控制器相对来说较稳定,能够完成较多功能的实现,但这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统设计与调试的困 难。相反,使用基于FPGA勺设计方法具有周期短,设计灵活,易于 修改等明显的的优点。而且,随着 FPGA1件、设计语言和电子设计 自动化工具的发展和改进,越来越多的电子系统采用FPG麻设计。未来,使用FPG端件设计的产品将出现在各个领域里。因此,此次 的交通信号灯控制器的设计将采用基于 FPGA勺设计方案来实现所要 求的功能。三单元模块设计与仿真3.1 时钟分频模块系统的动态扫描需要1HZ的脉冲,而系统时钟计时模块需要1HZ的脉冲。分频模块主要为系统提供所需的时钟计时脉冲。该模块将1kHZ的脉冲信号进行分频,产生1S的方波,作为系统时钟计时信号。其实体模块如下:rrtiiriarnH -i i fpelk CLK1S:inst将END TIM豉为5S, CLK采用系统的1KHZ的时钟脉冲。仿真波形如下:可以看到能够得到1s的时钟脉冲3.2 交通灯控制及计时模块干道绿灯,控制模块根据外部输入信号和计时模块产生的输出信 号,产生系统的状态机,控制其他部分协调工作。计时模块用来设定 主干道和支干道计时器的初值,并为扫描显示译码模块提供倒计时时 间。控制及计时模块采用状态机进行设计,可以定义出5种状态,分别为S0:主支干道红灯且没有车辆行驶;S1:主干道绿灯,支干道红 灯或支干道有车辆驶入;S2:主干道黄灯,支干道红灯;S3:主干道红 灯,支干道绿灯;S4:主干道红灯,支干道黄灯。利用 CAS酷句定义 状态的转换方式及时间的变换方式,达到主干道绿灯亮45秒,支干道绿灯亮25秒,黄灯亮5秒的设计要求。其实体模块如下:!- CLK1S! car-IinstlTIME1H3.OTIME1L3.OTIME2H3.OTIME2L3.Ocount6.0led5.OCA时支干道车辆检测开关,在支干道有车的情况下,模块可以进行减计时,CLK1S为1S的时钟脉冲,TIME1H TIME1L TIME2HTIME2L分别为主干道时钟高位、主干道时钟低位、支干道时钟高位、 支干道时钟低位,LED为LED灯发光情况,分别为主干道绿灯、主干 道黄灯、主干道红灯、支干道绿灯、主干道黄灯、主干道红灯,Count 的总的系统时间,用来改变系统的状态。仿真波形如下:uniHfiTBELHlEl TUB I!则 TUELLTHELLlOl TUELUJ TlPELLlE THKLLI3 THEMTIPEZHtO TliKHtl THEHtE Tasao THECTHEEUO TlBESLll TSKU TJ1EJU31通过仿真可以看到:当主干道绿灯,支干道红灯时,主干道倒计时高位置数0100,低位置数0101;支干道高位置数0101,低位置数0000;当主干道黄灯,支干道红灯时,主干道黄灯倒计时置数0101;支干道继续刚才的减计数;当主干道红灯,支干道绿灯时,主干道倒计时高位置数0011,低位置数0000;支干道高位置数0010,低位置数0101;当主干道红灯,支干道黄灯时,支干道黄灯倒计时置数0101;主干道继续刚才的减计数。在S4状态结束后,自动跳回到 S0状态,继续判断支干道是否有 车行驶,若有车行驶,则跳转到 S1状态,给高、低位置数,继续进 行减计时。系统根据COUNT变化自动在各状态下跳变,当count为 45时,跳变到S2状态;当count为50时,跳变到S3状态;当count 为75时,跳变到S4状态;当count为80时,若支干道没有车跳变 到S0状态,有车则跳变到S1状态。3.3 扫描显示译码模块扫描显示译码模块可以根据控制信号, 驱动交通信号灯以及倒计时数码管的显示,其中数码管的显示采用动态扫描显示。 其实体模块 如下图所示。CLK为1KHZ的系统时钟脉冲,CLK1助1S时钟脉冲, CAR为支干道车辆检测开关,SEL为数码管位码扫描,SEG为数码管 段码TIME1H TIME1L TIME2H TIME2L为数码管计时的时间,由控制及 计时模块为其赋值仿真波形如下:elkCLJK1S? carriMi-iHLH iij- TIME1 L|3 Tlfw1E2H3 OTIME2L|3. 01 count&. .0sel2.Orr seg5 0,inst2扫描显示译码模块通过仿真可以看到:给CLK一个时钟脉冲,数码管的位码随 CLK寸钟的变化而进行扫描,由于CLK勺频率较高,人的眼睛会有短暂的视觉停留,所以会看到4个数码管都在显示时间。3.4 顶层文件的编写将以上各个单元模块仿真成功后, 再进行顶层文件的编写。将各 个单元模块的变量赋值给顶层文件,从而将各个单元模块连接起来,统一调配。得到顶层文件的实体模块,如下图所示。CLK为1KHZ系统时钟脉冲,CA时支干道车辆行驶情况,高电平为有 车行驶,低电平为无车行驶,LED为交通灯发光情况,SEL为数码管 位码扫描,SE助数码管段码。仿真波形如下:二unimLuinimunn二innnr二nuinr皿匚iiunniui口JinnmLmnrnnnjmnmruuruwuuI I I I a I I I I u I I I I I I I I I I I I I I rLLnnjjTjirrLLFirrLLniJTLLrruTjjTLUTLLrnjjTLLFjjmwTjr哙:门 :;:*(屈工”1上二三“炉二北L俎现明境亚初雌娜魄的笑 jTr_TTUwnvTnrnuTrLrmjTr_EmTinTjmmmmnTLrTrnI LRLrnnrmrrr:mrnjn_ jiriLriLniL-iLrujnLUiLLTji-iLrLLLrLirLLLniLWJiruiiiiL nLF_LnHnLTimrimn_Tjnumur_L7iLTjJT_L7iiT_r5: i ;: r II.: ; : : I j i I I :.A ! 5 : 1 上.三 I I LJ .inauur二ruxrmnn里V且。口5 5 573 g g H JQW 5 口靖N.uiv血iHimI iiLiua 工 向bi_fiTiliiI iziinfitd11elkled5 0Lgcarsel2. 01Eseq6 .01-Jin: W顶层文件的实体模块仿真后可以得到最终的结果:开始时,支干道没有车辆行驶。主干道处于常通行状态,支干道处于禁止状态;当支干道有车来时,主干道亮绿灯,经行 45秒倒计时,支干道亮红灯,经行50秒倒计时;主干道45秒倒计时结束后跳变到黄灯,进行 5秒倒计时,支干道继续亮红灯,进行倒计时;主干道5秒倒计时结束后跳变到红灯,经行 30秒倒计时,支干道跳 变到绿灯,进行25秒倒计时;支干道25秒倒计时结束后跳变到黄灯,进行 5秒倒计时,主干道继 续亮红灯,进行倒计时;支干道5秒倒计时结束后,判断支干道是否有车,若有车跳变到 S1 状态,没有车跳变到S0状态四总结通过这次课程设计,我进一步加深了对 EDA(电子设计自动化) 的了解。并进一步熟练了对QuartusII软件的操作。在做本次课程设 计的过程中,遇到了很多问题,使我发现自己以前学习上存在的不足。 并加深了对交通灯原理和设计思路的了解。 同时也掌握了做课程设计 的一般流程,为以后的设计积累了一定的经验。做课程设计时,先查 阅相关知识,把原理吃透,确定一个大的设计方向,在按照这个方向 分模块的把要实现的功能用流程图的形式展示。最后参照每个模块把输入和输出引脚设定,运用我们所学的 VHDIM言进行编程。 本设计采用VHDL硬件描述语言文本输入方式,在确立总体预期实现 功能的前提下,分层次进行描述,其中所用到的数据均可依现场情况 而设置,修改方便灵活。特殊状态可自行修改和添加,但总的前提是保 持状态二(南北东西均为红灯)的优先级最高。须注意的是,功能四的 两模拟频率不能太高,否则将无法实现。事实上这也与实际情况相符, 因为考虑到道路行使中车速受限因素存在。数字化时代的到来给人们的生活带来了极大的改变 ,有理由相信随着数字化的深入,交通灯控制器的功能将日趋完善。而且,VHDL语言对 EDA产生的影响也是深远的,它缩短了电子产品的设计周期,为设计 者提供了方便。总之,通过这次的设计,进一步了解了 ED世术,收 获很大,对软件编程、排错调试、相关仪器设备的使用技能等方面得 到较全面的锻炼和提高。五参考文献历雅萍、易映萍编1电子技术课程设计2电子技术课程设计指导彭介华主编高等教育出版社3电子线路设计、实验、测试 谢自美 主编 华中理工出版社4数字电子技术基础康华光 主编高等教育出版社5电子技术基础课程设计孙梅生等编著高等教育出版社
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业管理 > 营销创新


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!