pc机控制led显示器的设计

上传人:仙*** 文档编号:61844844 上传时间:2022-03-12 格式:DOC 页数:35 大小:324.50KB
返回 下载 相关 举报
pc机控制led显示器的设计_第1页
第1页 / 共35页
pc机控制led显示器的设计_第2页
第2页 / 共35页
pc机控制led显示器的设计_第3页
第3页 / 共35页
点击查看更多>>
资源描述
图书分类号:密 级:毕业设计(论文)PC控制的LED点阵显示屏的设计与实现DESIGN OF DOT MATRIX LED BASING ON PC-CONTROLLED 学生学号20070504137学生姓名徐广建学院名称信电工程学院专业名称电子信息工程与技术指导教师陈奎2010年05月17日 徐州工程学院毕业设计(论文)徐州工程学院学位论文原创性声明本人郑重声明: 所呈交的学位论文,是本人在导师的指导下,独立进行研究工作所取得的成果。除文中已经注明引用或参考的内容外,本论文不含任何其他个人或集体已经发表或撰写过的作品或成果。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标注。本人完全意识到本声明的法律结果由本人承担。论文作者签名: 日期: 年 月 日徐州工程学院学位论文版权协议书本人完全了解徐州工程学院关于收集、保存、使用学位论文的规定,即:本校学生在学习期间所完成的学位论文的知识产权归徐州工程学院所拥有。徐州工程学院有权保留并向国家有关部门或机构送交学位论文的纸本复印件和电子文档拷贝,允许论文被查阅和借阅。徐州工程学院可以公布学位论文的全部或部分内容,可以将本学位论文的全部或部分内容提交至各类数据库进行发布和检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。论文作者签名: 导师签名: 日期: 年 月 日 日期: 年 月 日摘要介绍以单片机P89V51为控制器的LED点阵显示屏控制系统,本系统采用RS-232通信标准,通过P89V51的串行接口接收PC机的控制命令及显示数据。随着信息时代高新技术的发展,人们对及时获取并显示各类信息的欲望日益强烈,对传播媒体的要求也越来越高,由此带动了信息传媒的飞速发展,发光二极管(LED)显示屏就是信息显示的重要传媒之一。发光二极管(LED)显示屏是上世纪八十年代后期在全球迅速发展起来的显示媒体。它利用发光二极管构成的点阵模块或像素单元,组成大面积显示屏幕,以其可靠性高,使用寿命长、环境适应能力强、性能价格比高、使用成本低等特点,在短短的十来年中,迅速成长为平板显示的主流产品。该显示屏采用单片机与电脑的串口通讯技术,可以把要显示的内容及时的更新,具有简单的操作界面。由于采用了大容量的RAM,可以存储大量的显示信息,脱离电脑也可以继续显示。显示屏由LED点阵组成,显示亮度高,显示内容清晰。电脑上的程序采用VB编写,支持WINDOWS XP,2K,98;具有移植性好,界面友好等优点。本文详细的介绍了LED显示屏控制系统的软硬件设计。关键词:单片机;LED显示屏;串口通讯 AbstractThis paper introduces the control system of a LED display screen.It is based on the P89V51 and the RS-232 communication protocol.With the development of new and high technology in information age ,the desire of obtaining and showing all kinds of information in time is increasingly strong ,and the demand to media also becomes higher ,it brings along the development of the information media at full speed , display screen of Low Emitting Diode(LED) is one of the important media to show information Display screen of LED is a display media developed rapidly in the whole world on later stage of the eighties of last century. It utilizes lattice module or picture element unit that LED formed , makes up and shows the screen by a large scale ,because of its characteristics of dependability , having long performance life ,strong environmental adaptive capacity, high performance-cost ratio and low use cost, in short more than ten years , it grows into the main product of the platform shows rapidly. This screen is used in the hospital,it can show a lot of information about the hospital. It use the technology of the serial communication between the MCU and computer,and can update in time,it has the simple interface.Because the using of the bigness Ram,it can store a lot of the information ,and can work without the computer.The screen is make up of the 88 LED which is lighteness.The program on the computer is write by the VB and can work on the WINDOWS XP, 2K,98. This article introduce LED display screen control system.Key words:MCU LED Display screen Serial Communications III徐州工程学院毕业设计(论文)目 录1绪论11.1 LED显示屏的研究背景11.2 研发方向和方案选择12 系统总体设计32.1 系统基本组成及工作原理32.2 系统的主要元器件选择42.2.1 P89V5142.2.2 74HC15462.2.3 MAX23263 系统硬件电路设计83.1 LED显示点阵驱动模块设计83.2 单片机及外围电路设计103.2.1 时钟电路设计113.2.2 复位电路设计113.2.3 串行通信电路设计124 系统软件设计145 PC机终端LED控制程序175.1阵数据的提取程序175.2阵数据的串行发送176系统调试与维护196.1 硬件电路制作与焊接19结论21致 谢22参考文献23附 录24301绪论LED 显示屏从80 年代后期在全球迅速兴起,它利用发光二极管构成的点阵模块或像素组成大面积显示屏幕,以可靠性高、使用寿命长、环境适应能力强、价格性能比高、使用成本低等特点。短短的十几年中,相关技术和产业都取得了长足的进步,已发展成为重要的现代信息发布媒体手段,在证券交易、金融、交通、体育、广告等领域得到了广泛应用。随着社会信息化的进程,LED 显示屏在信息显示领域的应用前景愈加广阔。1.1 LED显示屏的研究背景现代信息社会中,作为人机信息视觉传播媒体的显示产品和技术得到迅速发展,进入二十一世纪的显示技术将是平板显示的时代,LED显示屏作为平板显示的主导产品之一无疑会有更大的发展,并有可能成为二十一世纪平板显示的代表性主流产品。与传统的显示设备相比,正是这种未来的巨大需求让大屏幕显示技术成为众人目光的焦点:(1)LED显示屏色彩丰富,显示方式变化多样(图形、文字、三维、二维动画、电视画面等)、亮度高、寿命长,是信息传播设施划时代的产品。(2)LED显示屏是集光电子技术、微电子技术、计算机技术、信息处理技术于一体的高技术产品,可用来显示文字、计算机屏幕同步的图形。它以其超大画面、超强视觉、灵活多变的显示方式等独居一格的优势,是目前国际上使用广泛的显示系统。(3)LED显示屏应用广泛,金融证券、银行利率、商业广告、文化娱乐等方面,有巨大的社会效益和丰厚的经济效益。在其历史的演变过程中,出现了多种信息传播媒体:但就其性能看:如阴级管(crt)或石英管(dv)大型电视,成本非常昂贵,在不需要超大画面且在室内使用时效果尚可;彩色液晶显示同样成本昂贵、电路复杂,面积有限,受视频角的影响非常大,可视角度很小;影象投影设备亮度小、清晰度差(画面受光不均匀);电视墙表面有分割线,视觉上有异物感,室外应用时亮度效果差。而LED显示屏以其受空间限制较小,并可以根据用户要求设计屏的大小,具有全彩色效果,视角大,可以用于显示文字、图案、图象、动画、视频、录象信号等各种信息的特点得到了突飞猛进的发展。1.2 研发方向和方案选择目前 LED 电子显示屏的显示向更高亮度、更高耐气候性、更高的发光均匀、更高的可靠性、全色化、多媒体方向发展,系统的运行,操作与维护也向集化、网络化、智能化方向发展。从LED显示屏需求上,市场上不仅需要像大屏幕的这样大型的显示屏,也需要根据不同场地配置不同规格的小型显示屏以及相对简单的文字显示屏。设计一个LED显示屏控制器,具有动态汉字显示的功能;LED显示屏闪烁频率不低于50Hz,显示屏亮度以在正常光照条件下能看清汉字。鉴此,本毕业设计设计与实现一个以单片机AT89V51为控制器的点阵LED显示屏控制系统,该系统采用单片机硬件以及软件程序结合的方式,以硬件电路作为驱动电路,区别于应用VHDL语言来设计的可编程逻辑器件CPLD/FPGA,通过PC机界面实现对点阵式LED显示屏的控制。虽然那样设计出的数字逻辑电路在功能上实现了对点阵式LED的驱动以及行和列的扫描,把所有的硬件软件改为一块集成的芯片,大大简化了设计,降低了成本,设计稳定性好而且设计相对于单片机要简单灵活。但是由于受硬件资源的限制以及未来对设计的变更和升级,总是难免要付出较多研发经费和较长投放市场周期的代价。2 系统总体设计根据LED显示屏控制系统的应用以及显示设备驱动的具体要求,本章设计了 LED图文显示屏的体系结构和工作流程。其中软件系统与控制硬件主系统之间采用串行通讯方式完成程序下载,实现文本信息的传输。2.1 系统基本组成及工作原理系统的大体结构图如如图2-1所示,图文显示屏的硬件模块基本结构可以分为屏体和控制器和PC机三大部分: 图2-1系统框图屏体部分主要是LED和驱动电路构成。不论是图形还是文字,都是控制与组成这些图形或文字的各个点所在的位置相对应的LED器件发光。根据屏幕所需的平面面积大小,选择一定数量的LED。用点阵方式构成图形或文字,是非常灵活的,可以根据需要任意组合和变化,只要设计好合适的数据文件,每个LED发光器件占据数据中的一位,通过对点阵上全部的LED进行控制,在需要该LED器件发光时,数据中相应的位填0,否则填 1,这样依照所需显示的图形文字,按显示屏的各行各列逐点填写显示数据,就可以构成一个显示数据文件,得到满意的显示效果。由于文字的显示点阵格式比较规范,可以采用现行计算机通用的字库字模,如汉字的宋体、楷体和黑体等多种可供选择的方案;其大小也可以有 1616、2424、3232、4848 等不同规格。控制器部分主要是单片机及其外围电路。由16行16列组成的1616图文显示屏其 LED 发光器件数量相当大,不宜使用静态显示驱动电路,而采用多行的同名列共用一套列驱动器。控制电路负责有序的选通各行,在选通每一列之前还要把该列各行的数据准备好。这样,这一行上的LED发光器件就可以根据列数据进行显示。这种时序控制电路,一般都采用单片机实现。控制电路采用单片机,主要负责控制存储显示数据模块、安排控制信号的定时与顺序、控制驱动LED显示点阵的电路等。驱动电路,则分为行驱动电路和列驱动电路,用来驱动LED显示点阵。PC机部分主要是界面。界面主要负责将输入的汉字转换为字模,字模然后通过串行通信输入给单片机。工作的大体过程如下:电脑把输入的文本进行转换,生成要下载的数据,然后把数据下载到主控板,主控板收到数据,把数据转存到主控板的RAM内,等数据接收完后,主控板就把存入的数据取出,按一定的格式发送到显示屏,实现信息的最终显示。在显示的过程中,如果电脑有新的数据发过来,主控板便会更新数据,然后更新显示。2.2 系统的主要元器件选择2.2.1 P89V51P89V51是由philips公司生产,制造工艺为CMOS 的P89V51 单片机采用的是40 只引脚的双列直插封装(DIP)方式。如果按功能划分,它由8 个部件组成,即微处理器(CPU),数据存储器(RAM),程序存储器(E2PROM),I/O口(P0 口、P1 口、P2 口、P3 口),串行口,定时器/计数器,中断系统及特殊功能寄存器(SFR)。(一)对各功能部件说明1、数据存储器(RAM):片内为256个字节(单元),片外最多扩至64K 字节。2、程序存储器(E2PROM):P89V51 单片机含有8K 字节的快擦写可编程/擦除只读存储器(E2PROM)。片内最多可扩至64K 字节。3、中断系统:具有6个中断源,2级中断优先权。4、定时器/计数器:3个16 位的定时器/计时器,具有四种工作方式。5、串行口:1个全双工的串行口,具有四种工作方式。6、P0 口、P1口、P2口、P3口:为4个并行8位I/O口。7、特殊功能寄存器(SFR):共有21个,用于对于片内各功能模块进行管理,控制监视。实际上是一些控制寄存器和状态寄存器,是一个特殊功能的RAM 区。8、微处理器(CPU):为8位的CPU,且内含一个1位CPU(微处理器),不仅可处理字节数据,还可进行位变量的处理。(二)P89V51 芯片的主要特性1、与MCS-51 兼容2、8K 字节可编程闪烁存储器3、寿命:1000 写/擦循环4、数据保留时间:10 年5、全静态工作:0Hz-24Hz6、三级程序存储器锁定7、256*8 位内部RAM8、32 可编程I/O 线9、三个16 位定时器/计数器10、6 个中断源11、可编程串行通道12、低功耗的闲置和掉电模式13、片内振荡器和时钟电路(三)P89V51 芯片的外部引脚功能如图2-2所示,由图可见: 图2-2 P89V51外形引脚结构图VCC:供5V电压。GND:接地。P0 口:P0 口为一个8位漏级开路双向I/O口,每个脚可吸收8个TTL门电流。P0 能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。P1 口:P1 口是一个内部提供上拉电阻的8 位双向I/O口,P1口缓冲器能接收输出4个TTL门电流。P1口管脚写入1 后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH 编程和校验时,P1口作为第八位地址接收。P2 口:P2口为一个内部上拉电阻的8 位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P3 口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并可用作输入接口。另外,被外部拉低的P3 口将用上拉电阻输出电流(IIL)。P3 口也可作为P89V51 的一些特殊功能口,如下所示:P3.0 RXD(串行输入口) P3.1 TXD(串行输出口)P3.2 /INT0(外部中断0) P3.3 /INT1(外部中断1)P3.4 T0(计时器0 外部输入) P3.5 T1(计时器1 外部输入)P3.6 /(外部数据存储器写选通)P3.7 /(外部数据存储器读选通)P3 口同时为闪烁编程和编程校验接收一些控制信号。RST:复位输入。当振荡器复位器件时,要保持RST 脚两个机器周期的高电平时间。ALE/:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH 编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE 脉冲。如想禁止ALE的输出可在SFR8EH 地址上置0。此时,ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE 禁止,置位无效。PSEN:外部程序存储器的选通信号。在由外部程序存储器取址期间,每个机器周期两次PSEN有效。但在访问外部数据存储器时,这两次有效的PSEN信号将不出现。/VPP:当保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1 时,将内部锁定为RESET;当端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。XTAL2:来自反向振荡器的输出。P89V51 单片机的可擦除只读存储器可以反复擦除100 次。该器件采用ATMEL 高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,P89V51是一种高效微控制器,且对开发设备的要求很低,从而使开发时间也大大缩短。2.2.2 74HC1544 线16线译码器简要说明: 74HC154 为4 线16线译码器,其主要电特性的典型值如下:当选通端(G1、G2)均为低电平时,可将地址端(ABCD)的二进制编码在一个对应的输出端,以低电平译出。若将G1和G2中的一个作为数据输入端,由ABCD对输出寻址, 74HC154 还可作1线16线数据分配器。 2.2.3 MAX232MAX232芯片是MAXIM公司的生产的,包含两路接收和驱动器的IC蕊片,适用于各种EIA-232C和V.28/V.24的通信接口。MAX232芯片内部有一个电源电压变换器,可以把5V电压变换成RS-232C输出电平所需的正负10V电压。所以,采用此芯片接口的串行通信系统只需单一的+5V电源就可以了。加之其价格适中,硬件接口简单,所以被广泛采用,串口芯片MAX232的管脚定义如图2-4所示。 图 2-4 MAX 232 的管脚图电路特点:1.单5V电源工作2.两个驱动器及两个接收器3.30V输入电平4.低电源电流:典型值是8mA5.符合甚至优于ANSI标准EIA/TIA-232-E及ITU推荐标准V.28典型电路。MAX232接线如图2-5所示:图 2-5 MAX 232 的接线图注意:10、11脚与89C51的TXD连接,9、12脚与89C51的RXD连接。连接时注意各管脚与+5V间需要10K的上拉电阻。3 系统硬件电路设计LED显示屏控制系统主要由LED显示点阵模块和单片机控制系统组成,LED显示点阵模块用于LED显示点阵的驱动,单片机主要负责与上位机进行通讯、接收和存储显示数据、驱动LED显示点阵和控制信号等。3.1 LED显示点阵驱动模块设计屏体的主要部分是显示点阵,还有行列驱动电路。系统显示点阵采用1616 单色显示单元,驱动电路采用动态扫描驱动方式驱动LED器件,控制完成整个显示电路的行列驱动。采用扫描方式进行显示时,每行有一个行驱动器,各行的同名列共用一个列驱动器。由行给出的行选通信号,从第一行开始,按顺序依次对个行进行扫描。根据各列锁存的数据,确定相应的列驱动器是否将该列与电源的另一端接通,接通的列就在该行该列点燃相应LED,未接通的列所对应的LED熄灭。当一行的扫描持续时间结束后,下一行又以同样的方法进行显示。全部各行都扫描一遍后,又从第一行开始进行下一个周期的扫描。只要一个扫描周期时间比人眼 1/25 秒的暂留时间短,就感觉不出闪烁。(1) LED显示屏屏体点阵式LED显示屏主要用于发布信息、显示汉字,通常由若干块LED点阵显示模块组成。最常见的LED点阵显示单元有 57, 79, 88 结构,前两种主要用于显示各种西文字符,后一种常用于显示各种汉字字符,88 LED点阵的外观及等效电路图3-1 如示。图 3-1 88 LED 模块示意图用于显示的1616单色LED显示点阵模块,每块有256个LED;为了减少引脚且便于封装,LED显示点阵模块采用阵列形式排布,即在行列线的交点处接有显示LED。因此,LED点阵显示模块的显示驱动只能采用动态驱动方式,每次最多只能点亮一行LED(共阳形式LED显示点阵模块)或一列LED(共阴形式LED显示点阵模块)。微机通过总线操作控制来完成对每一个LED点阵显示模块内每个LED显示的亮、暗控制操作。以此类推,可实现整屏LED点阵的亮、暗控制,从而实现LED显示屏汉字或图象的显示控制操作。(2)驱动电路设计根据驱动方式的不同,LED大屏幕显示方式还可以分为静态显示和动态扫描显示两种。静态显示是指将一幅画面输入以后要保持到下一幅画面的输入:动态显示是指将画面分为若干部分分别进行刷新。静态显示每一个像素需要一套驱动电路,如果显示屏为 NM 个像素屏,则需要 NM 套驱动电路;动态扫描显示则采用多路复用技术,如果是 P路复用的话,则每P个像素需一套驱动电路,NM个像素仅需 NN/P 套驱动电路。另外,对于静态显示方式,需要较多的译码驱动装置,需要的引线也比较多;对于动态扫描显示方式,每列有一个列驱动器,各列的同名行共用一个列驱动器。由列给出列有效信号,从第一列开始,按顺序依次对各列进列扫描(把该列与电源一端接通)。另一方面,根据各行锁存的数据,确定相应的行驱动器是否将该行与电源的另一端接通。接通的行,就在该列该行点亮 LED,未接通的行所对应的LED熄灭。当一列的持续扫描时间结束后,下一列又以同样的方法进行显示。全部各列都扫过一遍后(一个扫描周期),又从第一列开始下一个周期的扫描。只要扫描周期的时间比人眼 1/25 秒的暂留时间短,就不容易感觉出闪烁现象。显示数据通常以字节的形式顺序存放在控制系统的存储器中。在行扫描、列控制显示时,把显示数据从存储器中取出传送到每一列对应的行驱动器上,这就存在行数据传输方式的问题。从控制电路到行驱动器的数据传输可以采用并行方式或串行方式,它们各有优缺点:数据并行传输的速度比较快,但是随着屏幕的增大,点阵模块数量的增多,线路会越来越复杂;数据串行传输的速度比较慢,但它可以大大简化传输线路,对于列数较多的LED显示屏来说,采用串行传输方式比较合适。采用串行传输的方法,控制电路可以只用一根信号线,将行数据一位一位传往行驱动器,与此同时,行驱动器中每一行都把当前数据传向后一行,并从前一行接收新数据,一直到一列的各行数据全部传输到位后,才能并行地进行显示。对于串行传输来说,数据要经过并行到串行和串行到并行两次变换,因此列数据的准备时间可能相当长,在列扫描周期确定的情况下,留给列显示的时间就少一些,以至影响到LED的亮度。解决串行传输中列数据准备和行数据显示的时间矛盾问题,可以采用重叠处理的方法。即在显示本列各行数据的同时,准备下一列的行数据,这就需要列数据的显示具有锁存功能。本行己准备好的数据打入并行锁存器进行显示时,串并移位寄存器就可以准备下一列的列数据,而不会影响本列的显示。3) 行驱动电路单片机P3.2口输出的信号生成16条行选通信号,再经过驱动器驱动对应的行线,基本原理图如图3-2所示。图3-2 74HC154构成的行驱动电路由图可见,一条行线上要带动16列的LED进行显示,按每一LED器件20mA电流计算,16 个LED同时发光时,需要320mA电流,选用三极管9013作为驱动管可以满足需求。3.2 单片机及外围电路设计由于本次毕业设计是串口传输,采用AT89V51单片机便可实现与上位机进行通讯、存储显示数据、安排控制信号和驱动 LED 显示电路等功能,另外,其体型小,还可以节省电路空间,有关AT89V51的各功能部件的说明、主要特性、外部引脚功能在2.2节已有详细论述,在次仅引介单片机的外围电路。3.2.1 时钟电路设计在MCS-51芯片内部有一个高增益反向放大器,起输入端为芯片引脚XTAL1,输出端为引脚XTAL2,在芯片的外部通过这两个引脚跨接晶体振荡器和微调电容,形成反馈电路,就构成了一个稳定的自激振荡器。本次设计采用的时钟电路如图3-3所示:图3-3 时钟电路由图可见,单片机18(X1)、19(X2)引脚接由12M晶振和两个33pF电容构成的时钟电路。除使用晶体振荡器外,如对时钟频率要求不高,还可以用电感或陶瓷谐振器代替。电路中的电容C1和C2一般取30pF左右,而晶体的振荡频率范围通常是1.2MHz12MHz,晶体振荡器频率高,则系统的时钟频率也高,单片机运行速度也就快。但反过来运行速度快对存储器的要求就高,对印制电路板的工艺要求也高(线间寄生电容要小)。MCS-51在通常应用情况下,使用振荡频率为6MHz的石英晶体,而12MHz主要是在高速串行通信的情况下才使用。随着技术的发展,单片机的时钟频率也在逐步提高,现在高速芯片已达40MHz。定时振荡器的工作可由专用寄存器PCON的PD位进行控制,把PD位置“1”,振荡器停止工作,系统进入低功耗状态。振荡电路产生的振荡脉冲并不直接使用,而是经分频后再为系统所用。振荡脉冲经过二分频后才作为系统的时钟信号,在二分频的基础上再三分频产生ALE信号,在二分频的基础上再六分频就得到机器周期信号。3.2.2 复位电路设计当MCS-5l系列单片机的复位引脚RST(全称RESET)出现2个机器周期以上的高电平时,单片机就执行复位操作。如果RST持续为高电平,单片机就处于循环复位状态。根据应用的要求,复位操作通常有两种基本形式:上电复位和上电或开关复位。本次设计采用的复位电路如图3-4所示:图3-4 复位电路由图可见,9引脚接由电源、电容、电阻构成的上电复位电路,即通过外部复位电路的电容充电来实现。这样,只要电源Vcc的上升时间不超过1ms,就可以实现自动上电复位,即接通电源就完成了系统的复位初始化。3.2.3 串行通信电路设计通常,PC机提供一个打印机输出的并行口(LPT),两个串行口(COM1,COM2),采用PC机的RS-232串行口时,在PC机与单片机间通信必须有 相同的通信协议,由于89V51单片机采用的电平为TTL逻辑电平,在PC机侧的RS-232串行口采用+12V和-12V的电平方式,为使两者的连 接,在PC机的RS-232侧用MAX202CP进行电平转换。89V51 单片机通过普通I/O 口与PC 机RS232 串口实现通信的硬件接口电路如图3-5示。由于PC 系列微机串行口为RS232C 标准接口,与输入、输出均采用TTL 电平的89V51 单片机在接口规范上不一致,因此TTL电平到RS232 接口电平的转换采用MAXIM 公司的MAX232 标准RS232接口芯片,该芯片可以用单电压(+5V)实现RS232接口逻辑“1”(-3V215V)和逻辑“0”(+3V15V)的电平转换。图中89V51 的P1.6 模拟发送端,P1.5 模拟接收端。PC机与单片机之间通常采用2种通信方式:并行通信和串行通信。并行通信是指将待发送数据的各位同时传送,串行通信则将数据一位一位地按顺序传送。并行通信虽然传输效率高,由于所需硬件设备复杂,不适于长距离通信,所以一般只适用于要求实时性强,传送速率较高的控制系统中,实用面较窄;相比之下,串行通信简单易实现,传输距离较长,所以已被广泛应用于各种工控系统中。串行通信分为同步通信和异步通信2种方式。同步通信是指通过在每个数据块开始时的同步字符来实现收/发双方同步的一种数据传输方法,常用于信息量大,速度要求高的场合;异步通信则规定了标准的字符数据传输格式,即每一帧信息由起始位、数据位、奇偶校验位和停止位组成。由于有冗余位,所以传送效率不高,常用于信息量不大,速度较低的场合。在计算机测控系统中,由于串行接口的标准化,一般采用异步串行通信方式,以提高其通用性。由于各种接口的机械和电器特性有所差异,串行通信分为近程通信和远程通信。在异步通信中,起始位占用一位(低电平),用来表示字符开始。其后为7或8位的数据编码,第8位通常做为奇偶校验位。最后为停止位(高电平)用来表示字符传送结束。串行通信中,每秒传送的数据位称为波特率。如数据传送的波特率为1200 波特,采用N.8.1 帧格式(10位),则每秒传送字节为120个,而字节中每一位传送时间即为波特率的倒数:T=I/1200=0.833ms。同样,如数据传送的波特率为9600波特,则字节中每一位传送时间为T=1/9600=0.104 ms。根据数据传送的波特率即字节中每一位的传送时间,我们便可用普通I/O 口来模拟实现串行通信的时序。本系统中P89V51串口控制器SCON设置为50H,SM0、SM1为0、1,即为串行工作方式1,REN为1,即允许串口接收。另外,使 P89V51的中断允许寄存器IE的开放或禁止所有中断位EA为1,开放或禁止串行通道中断位ES为1,即允许串行口中断。程序简介如下:(1)串行口初始化MOV TH1,# XXH ,设定波特率MOV TL1, #XXHMOV SCON, #50H ,串行工作方式为1MOV PCON, #80HSETB TR1 ,允许定时器1计数SETB EA ,允许所有中断SETB ES ,允许串行中断(2)串口中断入口PUSH ACCPUSH PSWCLR EACLR RIMOV A, SBUFMOV R0,ACJNE R0, #0FEH, LH1 ,判断是否为本P89V51的标志,不是则跳出串行中断,是则处理相应的程序 ,可以根据具体的问题,在此处添加相应的处理程序LH1: POP PSWPOP ACCSETB EA RETI4 系统软件设计本课题主要采用的软件是Keil C51。Keil C51 是美国Keil Software 公司出品的51 系列兼容单片机C 语言软件开发系统,与汇编相比,C 语言在功能上、结构性、可读性、可维护性上有明显的优势,因而易学易用。用过汇编语言后再使用C 来开发,体会更加深刻。Keil C51 软件提供丰富的库函数和功能强大的集成开发调试工具,全Windows界面。另外重要的一点,只要看一下编译后生成的汇编代码,就能体会到Keil C51生成的目标代码效率非常之高,多数语句生成的汇编代码很紧凑,容易理解。在开发大型软件时更能体现高级语言的优势。显示屏软件设计的主要功能是向屏体提供显示数据,并产生各种控制信号,使屏幕设计的要求显示。根据软件分层设计的原理,我们可以把显示屏软件系统分为两大层:第一层是底层的显示驱动程序,第二层是上层的系统应用程序。显示驱动程序负责向屏体传送显示数据,并负责产生行扫描信号和其它控制信号,配合完成LED显示屏的扫描显示程序。显示驱动程序由定时器T0中断程序来实现,系统应用程序完成系统环境设置(初始化)、显示效果处理等工作。程序流程图如下图: 图4-1程序流程图单片机的程序比较简单,开机的时候,单片机显示预先存储的内容,这些内容存储在程序存储器内,可以在这显示一些常用的信息。如果单片机收到电脑发来的数据,则单片机会转而显示新的内容。电脑的数据通过串口发送给单片机,内容可以随时更新,而且支持中英文,字符等,所以显示的内容比较的丰富。显示驱动程序在进入中断后首先要对定时器T0重新赋初值以保证显示屏刷新率的稳定,1/16扫描显示刷新率(帧频)的计算公式如下:刷新率(帧频)=1/16T0溢出率=1/16(fosc/12(65536-t0)式中fosc为晶振频率, t0为定时器T0初值(工作在16位定时器模式) 。其次,显示驱动程序查询当前点亮的行号,从显示缓存区内读取下一行的显示数据,并通过串口发给移位寄存器。为消除在切换行显示数据时产生的托尾现象,驱动程序首先要关闭显示屏,即消隐,等显示数据打入输出锁存器并锁存,然后再输出新的行号,重新打开显示。系统主程序开始以后首先是对系统环境初始化,包括设置串口、定时器、中断和端口。由于单片机没有停机指令,所以我们可以设置系统程序不断地循环执行上述显示效果。主程序部分程序程序如下:/*延迟函数*/void delay( unsigned int t) /延时 while(t-); void check(void) /检查点阵是否都亮 uchar i; SDA=0; CLK=0; delay(5); CLK=1; delay(5); P1=0x00;P0=0x00; delay(200);P1=0xff;P0=0xff; for(i=0;i15;i+) /循环次,送个到中 SDA=0; CLK=0; delay(5); CLK=1; delay(5); P1=0x00; P0=0x00; delay(200); P1=0xff; P0=0xff; 5 PC机终端LED控制程序5.1阵数据的提取程序在许多工业控制和智能仪器仪表中,常需要显示汉字,如点阵式LED和LCD等,常需要汉字的点阵数据(常用的如16*16点阵)。下面将介绍如何从汉字库文件UCDOS的HZK16中提取字模点阵数据,得到这些数据可以通过PC机实时地传给MCU进行显示;也可以将得到的字模数据写入EPROM,由MCU读取并显示。16*16点阵汉字的结构。HZK16中的汉字点阵数据符合国标GB2312-80标准,将汉字在文件中的位置分成94个区,每个区又分成94个位;即一个汉字的地址可用区码和位码计算得到。一个16*16点阵的汉字需要32个字节的数据空间,设一个汉字的区码为Q,位码为W,则该汉字在HZK16文件中的数据位置ADD为:ADD=(Q-1)*94+(W-1)*32因为一个汉字的国标码由区码和位码构成,即需要两个字节表示,它们的关系是:国标高字节=区码+20H国标低字节=位码+20H大家都知道国际标准ASCII码中所有码值均小于128,即用一个字节表示时其最高位永远为零;在汉字编码中,规定所有汉字编码每个字节的最高位永远为1,这样就有效地区分了ASCII码。也就是说一个汉字编码的两个字节的最高位为标志位1,所以在取汉字的区位码时要将该位去掉。含有标志位的国标码称之为内码,内码与汉字区位码的关系为:内码高字节=国标高字节+10000000B=区码+20H+10000000B=区码+A0H内码低字节=国标低字节+10000000B=位码+20H+10000000B=位码+A0H在VB中用Asc()函数可获得汉字的内码,再通过上面介绍的内码与区位码的关系计算出区位码,利用区位码就可以计算出该汉字点阵数据在HZK16文件中的位置了。5.2阵数据的串行发送利用MSCOMM控件实现串行通讯。控件提供下列两种处理通讯的方式:事件驱动通讯是处理串行端口交互作用的一种非常有效的方法。在许多情况下,在事件发生时需要得到通知,例如,在 Carrier Detect (CD) 或 Request To Send (RTS) 线上一个字符到达或一个变化发生时。在这些情况下,可以利用MSCOMM控件的 OnComm 事件捕获并处理这些通讯事件。OnComm 事件还可以检查和处理通讯错误。所有通讯事件和通讯错误的列表,参阅 CommEvent 属性。在程序的每个关键功能之后,可以通过检查 CommEvent 属性的值来查询事件和错误。如果应用程序较小,并且是自保持的,这种方法可能是更可取的。例如,如果写一个简单的电话拨号程序,则没有必要对每接收一个字符都产生事件,因为唯一等待接收的字符是调制解调器的“确定”响应。每个使用的 MSComm 控件对应着一个串行端口。如果应用程序需要访问多个串行端口,必须使用多个 MSComm 控件。可以在 Windows“控制面板”中改变端口地址和中断地址。6系统调试与维护6.1 硬件电路制作与焊接在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。硬件电路板是电子电路的载体,任何的电路设计都需要被安装在一块电路板上,才可以实现其功能。制作硬件电路即PCB板,首先在确定器件选型的前提下,利用PROEL DXP软件画出正确的电路原理设计图,将其转成PCB图,再经过一系列的修改、排版后,由于条件有限,利用热转印法,使用激光打印机,将设计的PCB图形打印到热转印纸上,再将热转印纸紧贴在覆铜板的铜铂面上,经PCB压板机,以适当的温度加热,转印纸上原先打印上去的图形就会受热融化,并转移到铜铂面上,形成腐蚀保护层,再经强氧化性的氯化铁溶液中进行腐蚀,最后制得本设计所需的硬件电路板。当制得所需PCB板的时候,接下来的工作就是焊接,在将所有的器件焊接到板子上以前,应设计好接下来的每一个工作步骤,错误的方法将使前面所有的工作变的徒劳,下面是本人通过实践总结出来的经验:1、准备器件:理好要焊接的器件,分类放好(可以在拿到PCB以前准备好)。2、外观检查:拿到PCB后首先用肉眼检查一下,看是否存在问题(短路、断路等),是否存在的设计的缺陷并作好记录,然后确定焊接的板子。3、测量短路:测量电源的进线与出线是否与地短路(正常的电阻应该无穷大),如果板子上有多个电源,每个电源都需要测量一下。4、开始焊接电源并测试:先焊接好总电源,然后进行测试,检查电源是否正常,然后再焊其它电源并分别进行测试。5、开始焊接主要器件:开始焊接主器件,并且边焊接边测试各个电源与地是否存在短路现象,焊接好一部分(功能模块)后立即进行测试,待正常后再焊接下一部分,直到所有的器件都焊接完毕。6.2 系统的调试、测试以及分析在电路装好后,也遇到不少的问题。首先是单片机的晶振不起振,这是在单片机电路最常碰到的问题,晶振是单片机正常工作的前提。但是由于电路板在布局上的问题,比如说晶振离单片机比较远的话,有可能会使晶振停振,特别是在频率比较高的时候,这个问题就更加的突出。但是我们的电路板是印刷板,质量比较好,而且晶振是紧贴着单片机安装的,所以说晶振不振不可能是引线太长。在仔细的检查过电路后,没有发现有太大的问题,但是用示波器却不能观察到ALE信号,ALE是晶振的六分频,频率比较低,比较容易观察。所以初步把问题定位在电路板上有地方出问题。仔细看过版图,发现单片机的ALE脚和电路板上的一个焊盘连在了一块,原来是在版图设计是不小心留下的没有清除干净的连线,问题找到,把连线用刀子划开后,再次测量过ALE,发现电路工作正常。在调试中的另一个问题是LED显示屏能正确显示ROM里固化的显示数据,但是屏幕上有干扰(不该亮的点有微亮)。分析硬件电路和软件后,发现行选信号和列数据输出相差时间太大,导致行列不一致,更改相应的单片机代码后,基本上解决了这个问题。然后就是调试MAX232,因为这是比较成熟的电路,数据收发没多大问题。但是,调试的时候发现,接受到的显示数据有时不能在屏幕上正确显示,仔细分析之后,发现在单片机接受数据的程序使用的是串行中断,所以当动态扫描还没完成一屏时,中断程序在这个时候又接收了从电脑串口发过来的数据,就造成了显示数据的紊乱。发现问题之后,就在单片机RAM里建立了一个接受数据的缓冲区。等动态扫描完了之后,将新接受到的数据复制到显示数据区域。至此,所有主控板的单元电路都已工作正常,经过最后的调试软件已经达到其预期的效果,已经实现了基本的工作要求。汉字的显示比较清晰,亮度适中。只要器件质量可靠,引脚焊接正确,LED显示屏硬件电路一般无需调试即可正常工作。软件部分需要调试的部分主要是显示屏刷新频率以及显示效果。显示屏刷新频率由定时器T0的溢出率和单片机的晶振频率决定,表1给出了实验调试时采用的频率及其对应的定时器T0的初值。表1 显示屏刷新率(帧频)与T0初值关系表(24MHz晶振)刷新率/Hz255062.57585100120T0初值0xec780xf63c0xf8300xf97e0xfa420xfb1e0xfbee从理论上讲,12Hz以上的刷新率就能看到连续稳定的显示,刷新率越高,显示越稳定,同时刷新率越高,显示驱动程序占用的CPU时间也越多。实验证明,在目测条件下刷新率在40Hz以下的画面看起来闪烁比较严重,刷新率在50Hz以上基本察觉不出画面的闪烁,刷新率达到85Hz以上时再增加画面闪烁将没有明显改善。结论LED显示屏市场的繁荣提供了可靠的支撑平台、广阔的发展空间和良好的市场前景。新的解决方案的提出将有助于LED显示屏生产商开拓更广阔的市场,其应用推广将带来极大的社会经济效益。在3个月的时间里,完成了以下任务:(1)对PC机界面设计进行了研究,界面设计主要采用VB编程,通过这次毕业设计,对VB编程打下了基础。(2)在理论分析基础上,使用STC89C51 Designer设计了系统电路图,包括串行通信电路、单片机外围电路、LED点阵显示电路等,完成了运用PC机通过单片机控制LED点阵显示屏显示汉字。(3)使用VB编写了界面的程序,使用C语言编写了单片机部分的程序,实现系统控制。(4)硬件和软件完成以后,对系统进行了测试,对测试结果进行了分析,根据结果,主要优点是LED点阵显示屏可以通过PC机界面灵活改变需要显示的汉字。实现的指标有:通过PC机界面实现对点阵式LED显示屏的控制。LED显示屏闪烁频率不低于50Hz,显示屏亮度以在正常光照条件下能看清汉字。在本次毕业设计中,我深刻体会到以下几点:(1)通过毕业设计,让我体会到基础知识要扎实,此次设计硬件和软件相结合,电路的设计和调试需要熟练掌握单片机、模拟电路、数字电路、通信电路等知识,而软件的设计要熟练掌握C语言和VB汇编语言。(2)通过这次毕业设计,让我懂得实践的重要,在毕业设计时遇到的问题通过老师和其他同学的帮助得以解决。致 谢毕业设计能够顺利完成,在此我要向我的导师陈奎老师致谢!在这几个月的时间里,从对课题的理解,方案的设计,到电路的制作,再到论文的写作,中间有着自己的努力,更有着老师和同学的关心和巨大的帮助。在课题进行期间,导师渊博的学识、严谨的治学态度、敏锐的洞察力、活跃的学术思想、积极进取的敬业精神和雷厉风行的工作作风,一直激励着我开拓进取和攻克难关。这次毕业设计的完成与陈老师的不断指正与鼓励是分不开的,同时也是他给我提供了理论与实际结合的环境与平台,在此,我非常真切地向他们表示感谢。参考文献1成同福 王锦川,LED点阵式城市客车电子显示路牌的功能与使用,城市车辆,2003年第005期。2贾东耀 汪仁煌,LED显示屏动态显示和远程监控的实现,国外电子元器件,2002.000(009)。3何井彪 任景龙,LED点阵式实时参数显示屏设计,热电技术,2001.000(004)。4汪清明,LED点阵显示牌的设计与动态显示控制,微计算机信息,2001.000(008)。5诸昌衿,LED显示屏系统原理及工程技术,电子科技大学出版社,2000年。6郭兆正,LED点阵显示屏系统设计,渤海大学学报,2005年04期。7翟淑霞,基于单片机的LED显示屏的汉字显示,今日科苑,2006年09期。8MAX232 Data Sheet,20029 uP Programmable, Universal Switched Capacitor Filtenrs Full Date Sheet for MAX260-MAX62 in pdf Format (MAX260-MAX262.pdf)J.Maxim Integrated Products,Inc.2002.10 MS Comm Control EB/OL. Wireless Wo
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!