电梯控制器设计(共22页)

上传人:o**** 文档编号:54656100 上传时间:2022-02-15 格式:DOC 页数:22 大小:559.50KB
返回 下载 相关 举报
电梯控制器设计(共22页)_第1页
第1页 / 共22页
电梯控制器设计(共22页)_第2页
第2页 / 共22页
电梯控制器设计(共22页)_第3页
第3页 / 共22页
点击查看更多>>
资源描述
精选优质文档-倾情为你奉上前言电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。中国是全球最大的电梯市场,也具有最强的电梯生产能力,但由于缺乏自主知识产权和核心技术,自主品牌占市场的份额很少。因此要加大对电梯技术的创新和发展,提升电梯的性能,就需要引进更好的技术,电梯控制器就是很好的装置,大力开发控制器是很必要的。电梯控制器可以有很多实现方式,本设计用了EDA技术进行操作。EDA技术打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率与产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。VHDL主要用于描述数字系统的接口,结构和功能,它的语法简单易懂,移植性好。我设计的是一个5层电梯控制器。分为主控制器和分控制器。主控制器是电梯内部的控制器,每层电梯入口处有一个分控制器。本设计采用VHDL源程序 Altera 公司的 Quartus II软件仿真。运用有限状态机的设计方法,设计了两个进程相互配合,状态机进程作为主要进程,信号灯控制进程作为辅助进程。在主进程中定义了七个状态,楼层上升请求UPIN,楼层下降请求DOWNIN,提前关门输入CLOSE,延迟关门输入DELAY,电梯运行的开关RUN_STOP,电梯运行或停止指示LAMP,电梯运行或等待时间指示RUN_WAIT,在电梯时钟的触发下,通过当前状态和信号灯信号来判定下一状态。信号灯控制进程中,信号灯信号存储按键请求情况,它的熄灭是由状态机进程中传出的信号来控制。本程序设计调用了IEEE 库,IEEE 库是 VHDL设计中最为常用的库,它包含有IEEE标准的程序包和其他一些支持工业标准的程序包。本设计采用 STD-LOGIC-1164、STD-LOGIC-UNSIGNED、STD-LOGIC-ARITH 程序包。以关键词 ENTITY引导,END ENTITY LIFT 结尾的部分是程序的实体部分。VHDL的实体描述了电路器件的外部情况,本设计定义了关于三层电梯控制器用到的各类时钟、异步复位按键、信号灯指示端口、电梯的请求端口。它描述了端口模式主要有IN、OUT,以及各端口信号的数据类型主要有STD-LOGIC、STD-LOGIC-VECTOR、INTEGER。 以关键词 ARCHITECTURE 引导,END ARCHITECTURE RTL结尾的语句部分是结构体部分,结构体描述电路器件的内部逻辑功能。目录第一章 绪论 .31.1课程设计题目 .3 1.2设计目的 .3 1.3课程设计要求 .3 1.4课程设计思想 .4第二章 课程设计步骤 .62.1设计背景 .6 2.2课程设计的意义 .6 2.2.1中国电梯的现状 .7 2.2.2 电梯的节能和环保 .7 2.2.3 电梯的智能化 .7 2.3电梯控制器的流程图 .8 2.4 电梯控制器的内部组成结构图 .8 2.5 主要模块设计 .9 2.6 VHDL源程序.10 2.7 仿真结果与分析 .20第三章 总结心得 .22参考文献 .22第一章 绪论电梯控制器由硬件和软件两大部分组成。硬件包括控制器、 控制器地板、读卡天线、电源、写卡器、IC卡片等组成内呼控制器,软件包括下位机运行控制软件、上位机写卡授权软件。我设计的七层电梯控制器主要应用 EDA电子电路技术。EDA的发展使得设计更具有灵活性。随着我国经济持续增长、城镇化建设的加速和房地产行业的进一步发展,对电梯的需求越来越大。所以电梯控制器就需要大强度的发展,来满足社会的需求。电梯的发展更加的智能化,节约化。对社会的发展,人民生活水平的提高具有很大的意义。所以加大对电梯控制器的发展,和技术上的研究是 很重要的。随着 EDA技术发展和应用领域的扩大与深入,EDA 技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。EDA技术的提高,促进了电梯控制器的发展。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所, 因此,对电梯控制器的设计是一个很实用的例子,对掌握 EDA技术的应用也有很大的帮助。1.1 课程设计题目:电梯控制器的设计1.2 设计目的进一步巩固理论知识,培养所学理论知识的在实际中的应用能力;掌握 EDA 设计的一般方法; 熟悉一种 EDA 软件, 掌握一般EDA系统的调试方法;利用EDA软件设计一个电子技术综合问题,培养VHDL编程、书写技术报告的能力。为以后进行工程实际问题的研究打下设计基础。1.3 课程设计要求设计一电梯控制器实现如下功能:一个五层电梯控制器。分为主控制器和分控制器。主控制器是电梯内部的控制器,每层电梯入口处有一个分控制器。 主控制器的功能如下: 1.在按电梯开关时相应请求,否则不响应; 2.电梯初始位置是一层; 3.电梯运行时,指示当前所在楼层; 4.当电梯到达所请求的楼层时,自动开门,然后继续运行,如果没有请求信号,停留在当前楼层; 6.收到请求后,自动到达用户所在楼层,自动开门; 7.电梯内外设有各种请求,并按电梯运行顺序执行,在执行后清除请求; 8.电梯运行规则:当电梯处于上升状态时,仅响应比电梯位置高的用户的请求;当电梯处于下降状态时,仅响应比电梯位置更低的用户请求;分控制器的功能如下:1.设有上升请求按钮和下降请求按钮,实时检测用户按键; 2.指示电梯当前坐在楼层 3.当电梯到达本层时,清除请求。1.4 课程设计思想实验模拟生活中电梯运动控制,电梯总共五层,最简单的控制思想如下:采集用户呼叫楼层,并放入相应的记忆单元中;不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层再下行直到到达最低层。由此构成一次行程;每完成一次行程检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行;其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示灯,内部升降请求信号同外部;根据电梯所在楼层,七段数码管显示楼层数;当电梯运行到有相应外部呼叫或内部呼叫楼层时,电梯停止运行,灭掉相应的呼叫显示灯,电梯开关门后,继续运行。电梯控制器设计两个进程相互配合,状态机进程作为主要进程,信号灯控制进程作为辅助进程。根据电梯的实际工作情况,可以为状态机设置三个状态,电梯的控制状态包括运行状态、停止状态及等待状态,其中运行状态又包含向上状态和向下状态。主要动作有开、关门,停靠和启动。乘客可通过键入开、关门按钮,呼唤按钮,指定楼层按钮等来控制电梯的行与停。状态机进程中的很多判断条件是以信号灯控制进程产生的信号灯信号为依据,而信号灯控制进程中信号灯的熄灭又是由状态机进程中传出的信号来控制。电梯控制器有如图1-1所示的系统框图。其中CLK为基准输入时钟信号,在时钟上升沿有效;UPIN为楼层上升请求信号,高电平有效;DOWNIN为楼层下降请求信号,高电平有效;楼层选择键ST_CH,高电平有效;提前关门开关CLOSE和延时关门开关DELAY, 高电平有效;电梯运行开关按钮RUN_STOP,电梯在高电平时正常运行,低电平时停止运行;输出信号包括电梯运行/停止输出信号LAMP、电梯运行/等待时间显示电RUN_WAIT,电梯所在楼层指示数码管ST_OUT,楼层选择指示数码管DIRECT。由于信号RUN_WAIT、ST_OUT和DIRECT的输出要能够直接指示电梯控制器的各种运行状态,因此可以采用共阴极LED数码显示管。由电梯控制器系统框图可得到图1-2。图 1-1电梯控制器系统框图图1-2 总体组装图第二章 课程设计步骤2.1 设计背景近年来,随着我国房地产业的持续高速发展,高层建筑越来越多。因此,一种能使人们快速、便捷地到达目的楼层的电梯便应运而生了。分析近几年房地产业的发展趋势,特别是商品住宅的高速发展,将使住宅对电梯的需求 量持续攀升。人们对电梯安全性、高效性、舒适性的不断追求也推动了电梯技术的进步。随着电梯技术的发展,绿色化、低能耗、智能化、网络化、蓝牙技术的电梯成为一段时间内的发展趋势。为保证人们能更安全、更快捷地到 达目的楼层,实现人性化的功能,对电梯系统中的控制部分进行优化设计是非常必要。因此这部分的设计也就成了在电梯设计领域里最为核心的技术。为了实现电梯的智能化,可以采用许多方法。它的智能化控制可以有以下几种形式:1.PLC 控制;2.单板机控制;3.单片机控制;4.单微机控制;5.多微机控制;6.人工智能控制。随着 EDA技术的快速发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。它是一种实现电子系统或电子产品自动化设计的技术,与电子技术、微电子技术的发展密切相关,并吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。传统单片机设计的电梯控制外围电路复 杂,性能不稳定,而采用 EDA 设计,却拥有电子系统小型化、低功耗、高可靠性、开发过程投资小、周期短等优点,而且还可以通过软件编程对硬件结构和工作方式进行重构,使得硬件设计如软件设计那般方便快捷。本次设计就是应用EDA电子电路技术来设计电梯控制器,从而使用一片芯片就可以实现对电梯的控制的。2.2 课程设计的意义电梯作为垂直方向的交通工具,在高层建筑和公共场所已经成为重要的建筑设备而不可或缺。电梯产业的前景和走势随着社会的需求而悄然发生着改变,除了考虑安全、舒适、豪华装修等要求外,市场对新一代的绿色电梯、节能电梯和智能电梯的需求越来越旺盛。国内外电梯企业顺应市场需要,加大研发投入,都准备在未来新概念电梯产业发展中占得先机。2.2.1 中国电梯的现状近年来,随着中国房地产业的快速发展,与之配套的电梯生产制造业也经历了迅猛发展的阶段,电梯产量保持了每年 20以上的增长速度。我国电梯的出口年均增长率将保持在 35%以上,电梯行业逐步成为国内比较重要的行业。随着我国经济持续增长、城镇化建设的加速和房地产行业的进一步发展,对电梯的需求越来越大。估计未来 50年估计中国新增住房面积将达到200亿平方米。国家规定20 米以上高楼就应安装电梯,因此未来电梯最大的市场就是住宅市场。此外,机场、商场、地铁等大型公共设施建设对自动扶梯、观光电梯等电梯的需求量也十分可观。电梯作为终端消费品,品牌在市场竞争中的作用非常明显。品牌往往成为人们在选择电梯产品时的重要考虑因素,电梯生产要想建立良好的品牌并获得市场的认可,也必须经过市场一定时间的不断考验。面对外资巨头的贴身进逼,我国电梯品牌在服务和营销上难以匹敌,其生存状况不容乐观。所以大力发展电梯控制器技术对我国电梯行业的发展至关重要。2.2.2 电梯的节能和环保 “绿色”已成为 21世纪的主流色调,一个全球性的绿色市场为企业的发展提供了广阔的空间,当今社会谁先推出绿色产品,抢占绿色营销市场,谁就能掌握竞争的主动权。老式电梯噪音、占用空间、耗能等形成的危害积累起来是相当大的,因此,在城市环保社区建设中,如何尽量减少电梯对环境的危害是相当重要的课题之一。目前,我国市场每年销售各种类型的电梯达 4.5 万部,如果这些电梯全部实现环保化,其环境效益是难以估量的。2.2.3 电梯的智能化随着城市化的高速发展,越来越多的摩天大楼拔地而起。就摩天大楼的高度而言,不仅受建筑技术上的制约,而且还有电梯升高方面的困惑,因而,在摩天大楼日益完备智能化的趋势中,电梯的智能化也不容忽视。它不仅是人们上上下下的代步工具,同时,也是摩天大楼智能化的一个重要标志。尽管电梯在摩天大楼中只是个细节,但电梯智能化程度的高低却决定着它服务质量的优劣。因而,电梯的智能化在一定程度上反映出智能大厦的智能程度。计算机技术,通讯技术与控制技术的发展使大厦的智能化成为现实,而电梯是智能建筑中的重要交通工具,其技术发展及智能化程度也倍受世人关注。智能化的电梯要与智能大厦中所有自动化系统联网,如与楼宇控制系统、 消防系统、保安监控系统等交互联系,使电梯成为高效优质、安全舒适的服务工具。2.3电梯控制器的流程图外部按键初始化等待请求信号寄存器是否有请求?状态寄存器是目标层与本层是否同层?否判定电梯运行方向外部硬件执行机构电梯运行。图 2-1 总流程图2.4 电梯控制器的内部组成结构图整个电梯控制器DTKZQ应包括如下几个组成部分: 时序输出及楼选计数器; 电梯服务请求处理器; 电梯升降控制器; 上升及下降寄存器; 电梯次态生成器。该电梯控制器设计的关键是确定上升及下降寄存器的置位与复位。整个系统的内部组成结构图如图 2-2 所示:图 2-2电梯控制器的内部组成结构图2.5 主要模块设计图2-2中所示的各电路模块可以通过采用多进程的方式实现。一、分频信号和楼选信号产生进程分频信号和楼选信号产生进程将输入时钟信号进行二分频,同时对楼选指示变量DIR进行从0到4的计数。二、楼层请求寄存器置位与复位进程该进程通过楼层选择指示变量DIR、电梯所在楼层变量LIFTOR和输入信号UPIN、DOWNIN、ST_CH来判断楼层请求寄存器UR、DR的复位。假设电梯所在楼层为NUM,此时电梯如处于运行中,这时若楼层指示为T且TNUM时按下了楼层选择确认键ST_CH,或者按下上升按钮UPIN,则对应的上升请求寄存器UR(T)赋值为1,否则,若电梯运行时间到,且没有任何的请求,则对应的上升请求寄存器UR(T)赋值为0;反之,如果电梯处于运行中,楼层指示为T且Tledoutledoutledoutledoutledoutledoutledout=;-其他情况时灯灭END case;END PROCESS;END rtl;五层电梯控制器主体的VHDL实现如下:LIBRARY IEEE; -库函数的说明 USE IEEE.STD_LOGIC_1164.ALL; -程序包的说明 USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY lift ISPORT(clk:IN STD_LOGIC; -时钟信号upin:IN STD_LOGIC; -上升请求键downin:IN STD_LOGIC; -下降请求键st_ch:IN STD_LOGIC; -楼层选择键close:IN STD_LOGIC; -提前关门键delay:IN STD_LOGIC; -延时关门键run_stop:IN STD_LOGIC; -电梯运行开关lamp:OUT STD_LOGIC; -运行或停止灯run_wait:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -运行或等待时间st_out:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -电梯所在楼层指示direct:OUT STD_LOGIC_VECTOR(3 DOWNTO 0) -楼层选择指示);END lift;ARCHITECTURE rtl OF lift ISSIGNAL ur,dr: STD_LOGIC_VECTOR(5 DOWNTO 1);SIGNAL dir,liftor: INTEGER RANGE 0 TO 4;SIGNAL wai_t: STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL divide,hand,clkin: STD_LOGIC;SIGNAL ladd: STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL closex,delayx: STD_LOGIC;BEGINdirect=CONV_STD_LOGIC_VECTOR(dir,4)+1;st_out=CONV_STD_LOGIC_VECTOR(liftor,4)+1;run_wait=0& wai_t;lamp=ladd(1);hand=wai_t(2)AND(NOT wai_t(1) AND wai_t(0);closex=close AND (NOT ladd(1);delayx=delay AND (NOT ladd(1);-分频进程p0:PROCESS(clk)BEGINIF(clkEVENT AND clk=1)THENclkin =NOT clkin;END IF;END PROCESS p0;-分频及楼选信号产生进程P1:PROCESS(clkin)BEGINIF(clkinEVENT AND clkin=1)THENdivide=NOT divide;IF(dir=4)THENdir=0;ELSEdirnum)AND(st_ch=1)OR(upin=1)THENCASE t ISWHEN 1=ur(1)ur(2)ur(3)ur(4)ur(5)NULL;END CASE;-电梯运行时间到ELSIF (hand=1)THENCASE num ISWHEN 1=ur(1)ur(2)ur(3)ur(4)ur(5)NULL;END CASE; END IF;-选择楼层小于当前楼层或者有下降请求IF(tdr(1)dr(2)dr(3)dr(4)dr(5)NULL;END CASE;-电梯运行时间到ELSIF (hand=1)THENCASE num ISWHEN 1=dr(1)dr(2)dr(3)dr(4)dr(5)NULL;END CASE ;END IF; ELSEur=00000;dr=00000;END IF; END PROCESS p2;-电梯运行次态控制进程p3:PROCESS(ur,dr,dir,liftor,ladd,wai_t,run_stop)BEGINIF(run_stop=1)THEN -电梯运行时IF(wai_t=110)THENIF(ur OR dr)=00000)THENladd(1)IF(ur(1) OR dr(1)0)THENladd(1)=0;ELSEladdIF(ur(2) OR dr(2)0)THENladd(1)000)OR (ur(1)OR dr(1)=0) THENladd=11;ELSE laddIF(ur(3) OR dr(3)0)THENladd(1)00)OR (ur(2 DOWNTO 1)ORdr(2 DOWNTO 1)=00) THENladd=11;ELSE laddIF(ur(4) OR dr(4)0)THENladd(1)0)OR(ur(3 DOWNTO 1)ORdr(3 DOWNTO 1)=000)THENladd=11;ELSE laddIF(ur(5) OR dr(5)0)THENladd(1)=0;ELSEladdNULL;END CASE;END IF;END IF;ELSEladd=00;END IF;END PROCESS p3;-楼层计数及关门时间控制进程p4:PROCESS(divide,ladd,wai_t,closex,delayx)BEGINIF(divideEVENT AND divide=1)THEN -分频后的时钟上升沿IF(wai_t=000 OR closex=1)THENwai_t=110;ELSEIF(delayx=0)THENwai_t=wai_t-1;ELSEwai_t=010;END IF;-电梯处于运行状态IF(wai_t=001)THENIF(ladd=11)THEN -电梯上升,楼层加1liftor=liftor-1;END IF;END IF;END IF;END IF;END PROCESS p4;END rtl;顶层模块设计:LIBRARY IEEE; -库函数的说明 USE IEEE.STD_LOGIC_1164.ALL; -程序包的说明 ENTITY top ISPORT(clk:IN STD_LOGIC; -时钟信号upin:IN STD_LOGIC; -上升请求键downin:IN STD_LOGIC; -下降请求键st_ch:IN STD_LOGIC; -楼层选择键close:IN STD_LOGIC; -提前关门键delay:IN STD_LOGIC; -延时关门键run_stop:IN STD_LOGIC; -电梯运行开关lamp:OUT STD_LOGIC; -运行或停止灯run_wait: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);st_out: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); direct: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); END top;ARCHITECTURE rtl OF top IS COMPONENT led ISPORT(Ledin: IN STD_LOGIC_VECTOR(2 DOWNTO 0); Ledout: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); END COMPONENT led;COMPONENT lift ISPORT(clk:IN STD_LOGIC; -2hz信号upin:IN STD_LOGIC; -上升请求键downin:IN STD_LOGIC; -下降请求键st_ch:IN STD_LOGIC; -楼层选择键close:IN STD_LOGIC; -提前关门键delay:IN STD_LOGIC; -延时关门键run_stop:IN STD_LOGIC; -电梯运行开关lamp:OUT STD_LOGIC; -运行或停止灯run_wait: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);st_out: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); direct: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END COMPONENT lift;SIGNAL s0,s1,s2:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINU1:lift PORT MAP(clk,upin,downin,st_ch,close,delay,run_stop,lamp,s0,s1,s2);U2:led PORT MAP(s0,run_wait);U3:led PORT MAP(s1,st_out);U4:led PORT MAP(s2,direct);END rtl;2.7仿真结果与分析由2.6节里的VHDL语言描述得到的电梯控制器为对象进行仿真,得到的RTL电路如图2-3所示。从图上可以看出,电梯控制器由一个控制主体电路模块和三个显示电路模块组成,它们分别完成电梯状态的控制和显示功能。图2-3 电梯控制器RTL电路图对这样一个数字系统进行功能仿真得到的仿真波形如图2-4、2-5、所示。图2-4电梯控制器有上升请求时的仿真波形图图2-5电梯控制器有下降请求时的仿真波形图图中,当信号close为高电平时,电梯进入运行次态控制进程,通过判断上升、下降请求寄存器每一位的值,决定电梯的运行状态,并通过状态指示信号输出该状态。在波形仿真中,根据实际,我们有必要做一些假设,即是:1.外部请求上升的乘客,进入电梯后一定是按更高层的停站按钮;2.外部请求下降的乘客,进入电梯后一定是按更低层的停站按钮;3.如果有乘客进入电梯,则一定有停站请求; 4.同一时刻有很多人按键的概率很小,所以我们认为请求信号都有一定的先后顺序。第三章 总结心得在这两周的设计过程中,除找资料外,大多时间都是在电脑上进行的, 通过反复的编译,仿真,不断试验来实现所得结果。在设计上,运用了 EDA 自上而下的设计思想,逐步完善所设计功能,同时,用到 VHDL 语言中的状态机,多进程,CASE、IF.ELSE 等语句,才达到以上结果。在设计中也遇到许多困难,在自己及同学的共同努力下才完成此设计。因此这次课设给我带来的收获主要有:进一步熟悉 Quartus II软件的使用和操作方法以及硬件实现时的下载方法与运行方法,很好的弥补了我们平时只学不用的缺陷;对VHDL语言的自顶向下设计方法有了进一步的认识,对其中的许多语句也有了新的理解和掌握;对自己独立思考和解决问题的能力也有了很大的锻炼,同时同学间的互帮互助精神也是在课程设计中很好体现的。自己做的五层电梯控制器的运行情况都能正确的实现,更好的理解了电梯控制器的工作方式。在做设计的同时也有许多意外的收获,比如对电脑也有了进一步的认识,同时也意识到了英语的重要性,在编译的过程中如果如果出现了错误,要是看不懂就很难去改正,所以以后我将会更加努力的学习英语。参考文献: 1 潘松.黄继业.EDA 技术实用教程(第3版).清华大学出版社,2009. 2 刘欲晓.方强.黄宛宁EDA技术与VHDL电路开发应用实践 .电子工业出版社,2009. 3 苏长赞.电梯设计与应用.人民邮电出版社,2008. 专心-专注-专业
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学培训


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!