乒乓球游戏机汇总

上传人:岁月****20 文档编号:54396228 上传时间:2022-02-14 格式:DOCX 页数:15 大小:435.80KB
返回 下载 相关 举报
乒乓球游戏机汇总_第1页
第1页 / 共15页
乒乓球游戏机汇总_第2页
第2页 / 共15页
乒乓球游戏机汇总_第3页
第3页 / 共15页
点击查看更多>>
资源描述
15X级学生派X、一一.人EDA课程设计沏*诚帝小区EDA程设计报告书乒乓球游戏机课题名称姓名学号院系专业指导教师年月日一、设计任务及要求:设计一个能够模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分的乒乓球游戏机。要求:用8只发光二极管代表球台,比赛时甲乙双方各在不同的位置发球或击球;根据球的位置发出相应的动作,提前击球或出界均判失分;乒乓球的位置和移动方向有灯亮及依次点亮的方向决定,球移动的速度为0.10.5s移动一位;甲乙双方设置各自的记分牌,任何一方先记满21分该方就算胜了此局;当记分牌清零后,又可开始新的一局比赛。指导教师签名:年月日、指导教师评语:指导教师签名:年月日二、成绩验收盖章年月日基于EDA的乒乓球游戏机电路设计1、设计目的学会运用EDAS计各种电路、系统,熟练使用工具软件,把所学的专业知识更好的用到实践方面。2、设计的主要内容和要求用8个发光二极管代表乒乓球台,在游戏机的两侧各设置两个开关,一个是发球开关,一个是击球开关。甲方按动发球开关时,靠近甲方的第一盏灯亮,然后发光二极管由甲向乙依次点亮,代表乒乓球在移动。当球过网后,按设计者规定的球位乙方就可以击球。若乙方提前击球或没有击到球,则判乙方失分,甲方自动加分,重新发球比赛继续进行到一方记分到21分,该局结束,记分牌清零,可以开始新的一局比赛。3 整体设计方案3.1 、片选信号产生模块片选信号产生模块是用来产生数码管的片选信号,将产生的片选信号输送到数据转换模块,以便其对输入数据进行选择。3.2 、核心模块核心模块有两个功能,第一个是实现逻辑功能,即对甲方乙方的发球击球情况进行判断,然后再对双方谁得分进行记录;第二个是将整数得计分转换成十进制数,以便译码显示。3.3 、数据转换模块数据转换模块是将核心模块输送过来的数据通过片选信号对其进行选择,并将符合要求的数据输送出去。3.4 、七段译码器七段译码器用来将输入数据进行翻译,便于数码管显示出数据。3.5 、总电路将各个模块产生的符号文件组合起来构成乒乓球游戏机。4 硬件电路的设计4.1 、器件选择设计开发软件:MAX+plusH10.2;CPLD/FPGAg配器板:EPF10K1瞅口板;主芯片:ALTERAEPF10K1084-44.2 总体框图4.3 各模块功能及其仿真图4.3.1片选信号产生模块符号4.3.1 片选信号产生模块(图4.3.1)1片选信号产生模块是用来产生数码管的片选信号,将产生的片选信号输送到数据转换模块,以便其对输入数据进行选择。2图4.3.1模块为片选信号产生模块,用来产生数码管的片选信号。其与数据转换模块的对应关系如表4.3.1所小:接口序号数据转换模块SEL2SEL1SEL0选择数据000d2001d3010d3011d3100d0101d1110d3111d3表4.3.1数据转换表3CLK是扫描时钟信号接CLK5时钟信号源,A2.0是代表扫描片选地址信号SEL2,SEL1,SEL0的管脚同四位扫描驱动地址的低三位相连。其VHDL程序如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityselisport(clk:instd_logic;sell:outstd_logic_vector(2downto0);endsel;architecturesel_arcofselisbeginprocess(clk)variabletmp:std_logic_vector(2downto0);beginif(clkeventandclk=1)thenif(tmp=000)thentmp:=001;elsiftmp=001thentmp:=100;elsiftmp=100thentmp:=101;elsiftmp=101thentmp:=000;endif;endif;sell=tmp;endprocess;endsel_arc;图4.3.2片选信号模块仿真波形图5波形分析:当CLK的上升沿到达时,sell按照十进制数0、1、4、5、0变化,并且向端口外输出片选信号,符合设计模块的要求。4.3.2 核心模块(图3.3.3)1coma模块有两个功能,第一个是实现整个设计的逻辑功能,第二个是将整数得计分转换为十进制数,便于译码显示。clr为乒乓球游戏清零键,接按键;af为甲方发球控制键,接按键;aj为甲方接球控制键,接按键;bf为乙方发球控制键,接按键;bj为乙方接球控制键,接按键;CLK为控制乒乓球行进速度的时钟信号,接clk时钟信号源;shift7.0为LED灯显示输出端,接8个LED显示灯;ah3.0为甲方记分的高位,al3.0为甲方记分的低位,bh3.0为乙方记分的高位,bl3.0为乙方记分的低位,以上四位都在经过译码器译码后接8位共阴极数码显示管。2其VHDLS序如下所示:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycomaisport(clr,af,aj,bf,bj,clk:instd_logic;一:inst1shift:outstd_logic_vector(7downto0);岛siratelkshift7.03hp.B|aip.D附乱bip.jO图4.3.3核心模块符号ah,al,bh,bl:outstd_logic_vector(3downto0);endcoma;architecturecom_arcofcomaissignalamark,bmark:integer;beginprocess(clr,clk)variablea,b:std_logic;variableshe:std_logic_vector(7downto0);beginifclr=0thena:=0;b:=0;shek00000000”;amark=0;bmark8thenifbj=0thenamark=amark+1;a:=0;b:=0;she尸”00000000”;elseshe:=0&she(7downto1);endif;elsifshe=0thenamark=amark+1;a:=0;b:=0;elseifbj=0thena:=0;b:=1;elseshe:=0&she(7downto1);endif;endif;elsifa=0andb=1thenifshe16andshe/=0thenifaj=0thenbmark=bmark+1;a:=0;b:=0;she:=00000000;elseshe:=she(6downto0)&0;endif;elsifshe=0thenbmark=bmark+1;a:=0;b:=0;elseifaj=0thena:=1;b:=0;elseshe:=she(6downto0)&0;endif;endif;endif;endif;shifttmp1thenifala=1001thenalak0000;aha:=aha+1;tmp1:=tmp1+1;elseala:=ala+1;tmp1:=tmp1+1;endif;endif;ifbmarktmp2thenifbla=1001thenbla尸0000;bha:=bha+1;tmp2:=tmp2+1;elsebla:=bla+1;tmp2:=tmp2+1;endif;endif;endif;al=ala;bl=bla;ah=aha;bhqqqqqv=0111111”;when0001=qqqqqqqqq=1101111;endcase;endprocess;enddispa_arc;3仿真波形如下(图4.3.8)所示:1Q.25 ns Start:SiavlttiouItYefarasMuterTimeBar:|21,825nsFointer:32,QTuInterval:ViLuft at21.83 n?UOB 013111Jps200.Qg400.0g600.0ns300,0ns1.0usL2us1.4uI111I31.925奥J【口广12厂3广1*5工:讥imi皿I1口窄皿血)05口山1Yn。口“0X11口丽1yniinir图4.3.8译码模块仿真波形图4波形分析:由上面仿真图可以看出,译码模块完成了对数据在数码管上正确地显示。波形符合要求。5、总体电路设计及其仿真5.1 总电路原理图图5.1总电路原理图5.2 整体工作情况sclk和clk分别接扫描时钟信号和时钟信号,其它端口接法如上所述,SEL产生片选信号并作用于数据转换模块CH41A,COMA核心模块,实现逻辑功能,并且对数据进行数制转换。CH41A等所选数据送到七段译码器模块DISPA,使得分在数码管上显示。5.3 仿真结果(图5.3)SiidaiLfQItref9TiislintBit21.0mhhiMtrB7.9ZmInitrvsl4E.92mStu-t:End.ooocoodoI.011:111000X10017looiEntz000iooiJ图5.3总电路仿真图B0BDOOOOOCBOH:L1EQOQ5.4管脚分配图(图5.4)按照管脚分配图上所示,对各管脚在EDA式验箱上进行连接,sclk接clk时钟信号源,clr为清零控制键,接按键,af接按键,aj接按键,bf接按键,bj接按键,clk接clk0时钟信号源,sell2.0接扫描SELOSEL1、SEL2,shift7.0接八个LED显示灯,q6.0接数码管a、b、c、d、e、f、g。在乒乓球游戏开始时,先按clr键对系统进行清零,然后甲或者乙就可以按发球键发球,在LED灯上显示球的行进路径,当过网后另一方就可以击球,数码管设置了两个记分牌,分别来记录甲乙的得分情况。TopView泞颂囱丸曰0,白FLEX1OIK二EPF1OK1OLC34图5.4管脚分配图6、设计总结在刚看到乒乓球游戏机这个题目时,觉得非常的有趣,就选了但在二周的课程设计里,使我认识到了并非只是单单的有趣,对我来说非常难,那是因为自己平时学习不是很用功,造成了知识有很大的断节,并且也使我深刻地认识到了必须踏实认真地去做事才能将它做好,在这期间得到了老师和同学的帮助,在以后的学习中,要努力克服半途而废的作法,好好学习,将知识透彻理解。参考文献1江国强.EDA技术与应用.北京:电子工业出版社.20102 梁明理邓人清等.电子线路.北京:高等教育出版社.2000年3 郑亚民董小周.可编程逻辑器件开发软件QuartusII.国防工业出版社10张俊谟.单片机中级教程M.北京:北京航空航天大学出版.
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业管理 > 市场营销


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!