NCverilog教程

上传人:抢*** 文档编号:243543198 上传时间:2024-09-25 格式:PPT 页数:32 大小:855.50KB
返回 下载 相关 举报
NCverilog教程_第1页
第1页 / 共32页
NCverilog教程_第2页
第2页 / 共32页
NCverilog教程_第3页
第3页 / 共32页
点击查看更多>>
资源描述
单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,NC-,Verilog,NC-,Verilog,概述,Cadence,的仿真工具,NC-,Verilog,simulator,在,NC-Launch,上进行设计仿真,在,SimVision,分析环境下对设计中的问题进行调试,NC-,Verilog,概述,在,NC-Launch,(用于管理大型设计的图形交互接口)上进行设计的仿真。,NCLaunch,帮助你配置和启动编译器,描述器和仿真器,。,NC-,Verilog,概述,在,SimVision,分析环境下进行对设计中的问题的调试。,SimVision,是一个,candence,仿真器统一的图形化的调试环境。,SimVision,可以用于调试用,verilog,,,vhdl,,,SystemC,或者它们混合写成的数字,仿真,或数模混合电路的设计。,两种模式运行,SimVision,Simulate,模式,在,Simulate,模式下你可以实时的看到仿真的数据。也就是说,你可以在仿真的过程中就进行数据的分析。你可以通过对设计设置断点和分步来达到控制仿真的。,控制台窗口,Console Window,源浏览器,Source Browser,设计浏览器,Design Browser,循环阅读器,Cycle Viewer,原理图追踪,Schematic Tracer,信号流浏览器,Signal Flow Browser,波形窗口,Waveform Window,寄存器窗口,Register Window,两种模式运行,SimVision,Post-processing environment (PPE),模式,在,PPE,模式下,对仿真结果数据的分析是在仿真过程结束了后进行的。除了仿真器之外,你能访问所有的,SimVision,的工具 。要在,PPE,模式下运行,你必须先对设计进行仿真,然后把仿真的资料存到一个文件中,你可以随时从,simulation,模式切换到,PPE,模式,但是不能在,PPE,模式切换到,simulation,模式中去。,准备工作介绍,在仿真已有的设计以前,必须编译和描述它。编译过程将把源文件中的用,HDL,编写的单元编译成内在的描述。描述设计将在设计的实例化,结构化信息的基础上建立设计的层次结构,建立信号的连接,计算所有对象的初始值。编译,描述和仿真自己的设计要用到以下的工具:,Ncvlog,: 编译,Verilog,源文件。,ncelab,描述设计并且生成仿真的,snapshot,。,ncsim,对,snapshot,进行仿真仿真。,准备工作介绍,本例将演示如何在多步实现(,multi-step,)的模式下使用,NCLaunch,。,文件路径,启动,NClaunch,在拷贝了源文件的文件夹下面启动,nclaunch,-new &,多步模式(,Multiple Step,)使用,ncvlog,和,ncelab,命令来编译和描述你的设计;单步模式(,Single Step,)使用,ncverilog,命令。选择多步模式。,选择多步模式,Multiple step,选择,creat,cds.lib,file,,弹出第二个对,话框,,save,,在新对话框中点击,ok,,,之后在最初的对话框中点击,Ok,。,nclaunch,的主窗口:,左边的窗口中显示了,当前目录下的所有文,件,在编译和描述后,会在右边显示设计的,库。,在在仿真你的设计以前,必须用编译器编译源文件,并且用描述器(,elaborator,)把设计描述成,snapshot,的形式。,NCLaunch,的主窗口让你可以连接你编译和描述设计所需要的工具,VHDL,编译器(,compiler,),Verilog,编译器(,compiler,),NC,浏览(,NCBrowse,),描述器(,Elaboretor,),仿真,/,仿真器(,Simulator,),波形察看窗口(,Waveform Window,),选中,2,个,.v,文件点击,进行编译,之后会,在右边窗口中看到,帽子图标(工作库),下面产生,2,个文件。,现在要描述你的设计:,要展开库(,worklib,),选择顶层单元(也就是,测试中的,module,),然后选择描述按钮,(,elabrate,),但是在这之前要设置,参数,选中,module,后,选择,tools,Elaborator,进行设置。,设置参数时注意将,Access Visibility,按,钮选中并且它的值是,All,,这个选项意味,着全部存取(读,写,连接探测)来仿,真目标,这样就可以在仿真的数据库里,面探测目标和范围,调试你的设计。,由于不是所有的代码都加了时间,为防,止报错在此处加上时间。,然后点击,ok,即可。,在上述步骤之后,会生成一个你的设计对应的,snapshot,,仿真,就是针对这个,Snapshot,进行的。如图示,选中该文件,点击,仿真按钮,之后就启动了,simulator,会弹出,2,个窗口,:,设计浏览器(,Design Browser,)和,控制窗口(,Console window,),设计浏览器(,Design Browser,),在启动的时候,控制窗口(,Console Window,)有两个窗口。,SimVison,窗口让你可以输入,SimVison,的命令;,仿真器(,simulator,)窗口让你可以输入,Tcl,simulator,命令。,在你运行仿真的时候,控制窗口(,console window,)同样会在,SimVision,和,simulation,窗口中显示消息。,控制窗口(,Console Window,),SimVision,让你控制和查询你的,设计仿真。,SimVision,中你可以,存储个别目标或者范围的仿真,数据,这可以使得仿真数据尽,量的小,。,因此需要设置探测类型:,在设计窗口中,点击,test_drink,_machine,图示旁边的加号,展开设计的,层次结构,。,选择顶层模块,-,选择菜单,Simulation-,Create Probe,本例中是对所有的模表和范围进,行探测,因此是从顶层开始的。,针对这个探测,:,选择,Include sub-scopes,下拉菜,单中的,all,选项以包含所有设计的,子域。,选择,Include within each scope,下拉菜单中的,all,选项以包含输入,,输出 和端口。,取消选中,Add to waveform display,。,在控制窗口(,console window,)中选择,SimulationRun,。,SimVision,就会仿真,然后把仿真的结果数据存在默认的数据,库里面,。,执行操作之后会,显示,以波形的形式研究仿真的资料,在,u_drink_machine,模块中选择要显示在波形窗口中的信号。选中后点击,waveform,按钮,这样就可以打开波形窗口了。,有波形显示的波形显示窗口,当观察一个波形的时候,有时候把信号的值显示成,ASCII,码串很有用。,比如说,当,state,的值是,1,的时候,用户投入了,5,美分;,当,state,的值是,2,的时候,用户投入了,10,美分。把,state,的波形显示成,5,和,10,比显示成,1,和,2,更容易理解。,要把信号定义信号值为,ASCII,串,需要定义一个,mnemonic map,:,在波形窗口中选中该信号,然后选择,WindowsToolsMnemonic Maps,打开,Mnemonic Maps,的属性设置窗口,点击新建,map,按钮,新建一个,mnemonic,map,定义,mnemonic map,的第,一个入口 :,改变默认的进制,点击,h,不放然后选择,d,。,双击,Values Matching,区域,输入,0,然后按,Tab,,,进入,Relabel,As,区域。,在其中键入,idle,,再按,tab,键继续修改,。,按照上图所示进行修改即可,完成后点击表示确认,选择,FileClose Window,关闭选项窗口,如果对源代码进行了修改,则要在重新生成一次,snapshot,,,然后在,Console Window,点击,simulation,reinvoke,simulator,出现图示对话框:,选择,yes,即可,此时波形窗口如图所示。,再在,Console Window,点击,simulation,run,即可,谢谢!,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 小学资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!