尹其畅第十七十九讲计数器

上传人:dja****22 文档编号:243367350 上传时间:2024-09-21 格式:PPT 页数:110 大小:1.80MB
返回 下载 相关 举报
尹其畅第十七十九讲计数器_第1页
第1页 / 共110页
尹其畅第十七十九讲计数器_第2页
第2页 / 共110页
尹其畅第十七十九讲计数器_第3页
第3页 / 共110页
点击查看更多>>
资源描述
,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,*,单击此处编辑母版标题样式,电子技术,第五章,时序逻辑电路,数字电路部分,(第十七十九讲 计数器),1,课题:,计数器,课时:,6,重点:,用集成计数器构成N进制计数器的方法,难点:,74290的连接与编码的对应关系,教学目标:,掌握二进制计数器组成规律,理解异,步与同步工作的区别,熟练掌握集成二进制计 数器74161,74191,74193和74290,74160的逻辑,功能及用其构成任意进制计数器,教学过程:,一、 概述 四、十进制计数器,二、二进制计数器 五、MSI十进制计数器,三、 MSI二进制计数器 1、74LS160,1、74LS161 2、74LS290,2、74LS191 六、MSI计数器应用,2,计数器的分类,(2),按数字的增减趋势,(1),按计数进制,(3),按触发器是否由,同一计数脉冲控制,计数器主要用于对时钟脉冲计数,分频、定时的时序电路,二进制,计数器,二-十进制,计数器,进制,计数器,加法,计数器,减法,计数器,可逆,计数器。,同步,计数器,异步,计数器,3,一、二进制计数器组成规律,1二进制异步计数器(分析),(1)四位二进制异步加法(递增)计数器,工作原理: 4个JK,触发器都接成,T,触发器。,每当Q,2,由1变0,FF,3,向相反的状态翻转一次。,每来一个CP的下降沿时,FF,0,向相反的状态翻转一次;,每当,Q,0,由1变0,FF,1,向相反的状态翻转一次;,每当,Q,1,由1变0,FF,2,向相反的状态翻转一次;,1J,1K,C1,2,Q,1,Q,CP,FF,3,R,1K,FF,2,1J,C1,R,1K,FF,1,Q,1J,0,C1,R,R,0,FF,1J,C1,1K,Q,3,1,CR,计数脉冲,清零脉冲,Q,Q,Q,Q,若用上升沿触发器,CP=?,4,用“观察法”作出该电路的时序波形图和状态图。,由时序图可以看出,,Q,0,、,Q,l,、,Q,2,、,Q,3,的周期分别是计数脉冲(,CP,)周期的,2倍,、,4倍,、,8倍,、,16倍,,因而计数器也可作为,分频器,。,三位二进制加法计数器构成?,5,(2)四位二进制异步减法(递减)计数器,用4个上升沿触发的,D,触发器组成的4位异步二进制减法计数器。,工作原理:D,触发器也都接成,T,触发器。,由于是,上升沿,触发,则应将低位触发器的,Q,端与相邻高位触发器的时钟脉冲输入端相连,即从,Q,端取借位信号。它也同样具有分频作用。,若用下降沿触发器,CP=?,6,二进制异步减法计数器的,时序波形图和状态图。,在,异步计数器,中,高位触发器的状态翻转必须在相邻触发器产生进位信号(加计数)或借位信号(减计数)之后才能实现,所以工作速度较低。为了提高计数速度,可采用,同步计数器,。,三位二进制减法计数器构成?,7,2二进制同步计数器(设计),(,1)二进制同步加法(递增)计数器,由于该计数器的翻转规律性较强,只需用“观察法”就可设计出电路:,因为是,同步触发,方式,所以将所有触发器的CP端连在一起,接计数脉冲。,然后分析状态图。若用JK触发器实现,选择适当的JK信号。,8,分析状态图可见:,FF,0,:每来一个,CP,,向相反的状态翻转一次。所以选,J,0,=,K,0,=1。,FF,1,:当,Q,0,=1时,来一个,CP,,向相反的状态翻转一次。所以 选,J,1,=,K,1,=,Q,0,。,FF,2,:当,Q,0,Q,1,=1,时,, 来一个,CP,,向相反的状态翻转一次。所以选,J,2,=,K,2,=,Q,0,Q,1,FF,3,: 当,Q,0,Q,1,Q,2,=1时, 来一个,CP,,向相反的状态翻转一次。所以选,J,3,=,K,3,=,Q,0,Q,1,Q,2,9,(2)二进制同步减法计数器,分析4位二进制同步减法计数器的状态表,很容易看出,只要将各触发器的驱动方程改为:,将加法计数器和减法计数器合并起来,并引入一加/减控制信号,X,便构成4位二进制同步可逆计数器,各触发器的驱动方程为:,就构成了4位二进制同步减法计数器。,(,3,)二进制同步可逆计数器,10,当控制信号,X,=1,时,FF,1,FF,3,中的各J、K端分别与低位各触发器的Q端相连,作加法计数。,二进制同步可逆计数器的逻辑图:,当控制信号,X,=0,时,FF,1,FF,3,中的各J、K端分别与低位各触发器的 端相连,作减法计数。,实现了,可逆计数器,的功能。,11,3集成二进制计数器举例,(1)4位二进制同步加法计数器,74LS161,12,异步,清零。,74161,具有以下功能:, 计数。,同步,并行预置数。,RCO,为进位输出端。, 保持。,Q,D,Q,1,0,74161,3,2,Q,D,ET,0,Q,1,CP,L,D,3,D,EP,R,CO,2,D,R,D,13,14,(2) 四位二进制同步加法计数器,74LS163,74LS163,清零方式是,同步,的:即使控制端CLR0,清零目的真正实现还需等待下一个时钟脉冲的上升沿到来以后才能够变为现实。这就是“,同步清零,”的含义。,15,74LS 163 管脚图,74LS163 的介绍,16,15,14,13,12,11,10,1,2,3,4,5,6,7,8,9,Q,A,Q,D,Q,D,Q,C,Q,B,Q,A,Q,B,Q,C,V,CC,T,T,P,P,CP,A,A,B,B,C,C,D,D,CLR,LOAD,ENABLE,RC,串行进 位输出,允许,允许,GND,时钟,清除,输出,数据输入,置入,74LS163,16,1 1 1 1 计 数,0 1 1 1 X 保 持,1 0 1 1 X 保持 ( RC=0 ),X X 0 1 并 行 输 入,X X X 0 同步清零,P T LOAD CLR CP,功 能,T,P,RC,A,B,C,D,Q,B,Q,C,Q,D,Q,A,LOAD,CLR,74LS163,74LS163功能表,同步,清零。,74LS163,功能:, 计数。,同步,并行预置数。,RC,为进位输出端。, 保持。,17,清除,置入,A,B,C,D,时钟,允许 P,允许 T,Q,A,Q,B,Q,C,Q,D,串行进 位输出,输出,数据 输入,18,(2)4位二进制同步可逆计数器74191,74LS193(教材P159),19,二、二-十进制计数器,N进制计数器又称模N计数器。,当,N,=2,n,时,就是前面讨论的,n,位二进制计数器;,当,N,2,n,时,为非二进制计数器。非二进制器中最常用的是,二,-,十进制计数器,。,1. 8421BCD码同步十进制加法计数器(分析),28421BCD,码异步十进制加法计数器(分析),3十进制集成计数器,(1)8421BCD码同步加法计数器,74LS160,(2)二五十进制异步加法计数器,74LS290,20,1.8421BCD码同步十进制加法计数器,用前面介绍的,同步时序逻辑电路分析方法,对该电路进行分析。,(1)写出驱动方程,:,21,(2)转换成状态方程:,22,(3)作状态转换表,设初态为,Q,3,Q,2,Q,1,Q,0,=0000,代入次态方程进行计算,得状态转换表,23,(4)作状态图及时序图,。,24,(5)检查电路能否自启动,用同样的分析的方法分别求出6种无效状态下的次态,得到完整的状态转换图。可见,该计数器能够自启动。,由于电路中有4个触发器,它们的状态组合共有16种。而在8421BCD码计数器中只用了10种,称为,有效状态,。其余6种状态称为,无效状态,。,当由于某种原因,使计数器进入,无效状态,时,如果能在时钟信号作用下,,最终进入有效状态,,我们就称该电路具有,自启动,能力,。,25,28421BCD,码异步十进制加法计数器,CP,2,=,Q,1,(当,FF,1,的,Q,1,由10时,,Q,2,才可能改变状态。),用前面介绍的,异步时序逻辑电路分析方法,对该电路进行分析:,(1)写出各逻辑方程式。,时钟方程,:,CP,0,=,CP,(时钟脉冲源的下降沿触发。),CP,1,=,Q,0,(当,FF,0,的,Q,0,由10时,,Q,1,才可能改变状态。),CP,3,=,Q,0,(当,FF,0,的,Q,0,由10时,,Q,3,才可能改变状态),26,各触发器的驱动方程:,27,(2)将各驱动方程代入JK触发器的特性方程,得各触发器的次态方程:,(,CP,由10时此式有效),(,Q,0,由10时此式有效),(,Q,1,由10时此式有效),(,Q,0,由10时此式有效),28,(3)作状态转换表,设初态为,Q,3,Q,2,Q,1,Q,0,=0000,代入次态方程进行计算,,得状态转换表。,29,3十进制集成计数器,(1)8421BCD码同步加法计数器,74LS160,30,三、 二 - 五 - 十进制计数器 74LS90,74LS90 内部含有两个独立的 计数电路:,一个是模 2 计数器(CP,A,为其时钟,Q,A,为其输端);,一个是模 5 计数器(CP,B,为其时钟,Q,D,Q,C,Q,B,为其输出端)。,外部时钟CP是先送到CP,A,还 是先送到CP,B,,在Q,D,Q,C,Q,B,Q,A,这四个输出端会形成不同的码制。,(1) 74LS90的结构和工作原理简介,31,74LS290原理电路图,()二 - 五 - 十进制异步加法计数器 74LS290,具体分析,Q,C,Q,A,J,K,Q,B,J,K,J,K,Q,D,Q,D,J,K,CP,A,CP,B,R,0(1),R,0(2),9(2),9(1),Q,A,Q,B,Q,C,Q,D,R,R,R,R,32,Q,C,Q,B,J,K,J,K,Q,D,Q,D,J,K,CP,B,Q,B,Q,C,Q,D,Q,D,Q,C,Q,B,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,Q,A,J,K,CP,A,Q,A,五进制计数器,二进制计数器,33,74LS90 内部含有,两个,独立的 计数电路:,一个是模 2 计数器(CP,A,为其时钟,Q,A,为其输端);一个是模 5 计数器(CP,B,为其时钟,Q,D,Q,C,Q,B,为其输出端)。,外部时钟CP是先送到,CP,A,还是先送到,CP,B,,在Q,D,Q,C,Q,B,Q,A,这四个输出端会形成不同的码制,即8421BCD和5421BCD。,34,CP,A,CP,B,R,0(1),R,0(2),9(2),9(1),NC,NC,V,CC,Q,A,Q,D,Q,B,Q,C,GND,1,2,3,4,5,6,7,14,13,12,11,10,9,8,Q,A,Q,D,Q,B,Q,C,9(2),9(1),R,0(2),R,0(1),CP,B,CP,A,74LS90,74LS 90,管脚分布图,35,CP,A,CP,B,Q,A,Q,D,Q,B,Q,C,9(2),9(1),R,0(2),R,0(1),74LS290,74LS290,逻辑符号,R,0(1),R,0(2),9(1),9(2),CP,A,CP,B,Q,D,Q,C,Q,B,Q,A,0 X 0 X,CP,X,二进制计数,X 0 0 X,CP,Q,A,十进制计数(,8421,),X 0 X 0,Q,D,CP,十进制计数(,5421,),74LS290功能表,0 X 1 1 X X 1 0 0 1,1 1 0 X X X 0 0 0 0,1 1 X 0 X X 0 0 0 0,0 X X 0 X,CP,五进制计数,X 0 1 1 X X 1 0 0 1,36,Q,C,Q,A,J,K,Q,B,J,K,J,K,Q,D,Q,D,J,K,CP,CP,B,R,0(1),R,0(2),9(2),9(1),Q,A,Q,B,Q,C,Q,D,R,R,R,R,情况一:,计数时钟先进入CP,A,时的计数编码。,CP,A,CP,CP,B,Q,B,Q,D,Q,C,Q,A,2,5,Q,D,Q,C,Q,B,CP,B,Q,A,0 0 0 0,0 0 0 1,0 0 1 0,0 0 1 1,0 1 0 0,0 1 0 1,0 1 1 0,0 1 1 1,1 0 0 0,1 0 0 1,0 0 0 0,结论:,上述连接方式形成,8421,码,。,Q,D,Q,C,Q,B,CP,B,Q,A,0 0 0 0 0,0 0 0 1 1,0 0 1 0 2,0 0 1 1 3,0 1 0 0 4,0 1 0 1 5,0 1 1 0 6,0 1 1 1 7,1 0 0 0 8,1 0 0 1 9,0 0 0 0 0,十进 制数,Q,D,Q,C,Q,B,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,37,Q,C,Q,A,J,K,Q,B,J,K,J,K,Q,D,Q,D,J,K,CP,A,CP,B,R,0(1),R,0(2),9(2),9(1),Q,A,Q,B,Q,C,Q,D,R,R,R,R,情况 二:,计数时钟先进入CP,B,时的计数编码。,38,情况 二:,计数时钟先进入CP,B,时的计数编码。,Q,C,Q,B,J,K,J,K,Q,D,Q,D,J,K,CP,B,R,0(1),R,0(2),9(2),9(1),Q,B,Q,C,Q,D,R,R,R,Q,A,J,K,CP,A,Q,A,R,CP,CP,A,CP,Q,A,2,CP,B,Q,B,Q,D,Q,C,5,Q,D,Q,C,Q,B,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,结论:,上述连接方式形成,5421,码。,0 0 0 0,Q,A,Q,D,Q,C,Q,B,CP,A,0 0 0 1,0 0 1 0,0 0 1 1,0,1,0 0,1 0,0 0,1 0 0 1,1 0 1 0,1 0 1 1,1,1,0 0,0,0,0 0,0 0 0 0 0,Q,A,Q,D,Q,C,Q,B,CP,A,0 0 0 1 1,0 0 1 0 2,0 0 1 1 3,0,1,0 0 4,1 0,0 0 5,1 0 0 1 6,1 0 1 0 7,1 0 1 1 8,1,1,0 0 9,0 0,0 0 0,十进 制数,39,例1:,构成BCD,码六进制计数器。,CP,A,CP,B,Q,A,Q,D,Q,B,Q,C,9(2),9(1),R,0(2),R,0(1),74LS290,方法:令 R,0(1),= Q,B,,,R,0(2),= Q,C,CP,(2) 74LS290的应用,Q,D,Q,C,Q,B,Q,A,0 0 0 0 0,0 0 0 1 1,0 0 1 0 2,0 0 1 1 3,0 1 0 0 4,0 1 0 1 5,0110,0000,40,CP,A,CP,B,Q,A,Q,D,Q,B,Q,C,9(2),9(1),R,0(2),R,0(1),74LS290,CP,讨论:,下述接法行不行 ? 错在何处 ?,注意:,输出端不可相互短路 !,CP,A,CP,B,Q,A,Q,D,Q,B,Q,C,9(2),9(1),R,0(2),R,0(1),74LS290,CP,&,41,例2:,用两片74LS290构成,36,进制,8421码,计数器。,Q,D,Q,C,Q,B,Q,A,0 0 0 0 0,0 0 0 1 1,0 0 1 0 2,0 0 1 1 3,0 1 0 0 4,0 1 0 1 5,0 1 1 0 6,0 1 1 1 7,1 0 0 0 8,1,0 0 1,9,0,0 0 0,0,十进 制数,问题分析:,从右面的状态转换表 中可以看到:个位片的 Q,D,可以给十位片提供计数脉冲信号。,1. 如何解决片间,进位问题,?,2. 如何满足“ 36 进制 ”的要求?,当出现 (0011 011036)状态时,个位十位同时清零。,42,CP,A,CP,B,Q,A,Q,D,Q,B,Q,C,9(2),9(1),R,0(2),R,0(1),74LS290(十位),CP,A,CP,B,Q,A,Q,D,Q,B,Q,C,9(2),9(1),R,0(2),R,0(1),74LS290(个位),&,&,CP,用两片74LS 90构成,36,进制,8421码,计数器,43,例3:,用74LS290构成,5421 码的六进制计数器。,0 0 0 0 0,Q,A,Q,D,Q,C,Q,B,0 0 0 1 1,0 0 1 0 2,0 0 1 1 3,0 1 0 0 4,1 0 0 0 5,1 0 0 1 6,1 0 1 0 7,1 0 1 1 8,1 1 0 0 9,0 0,0 0 0,十进 制数,至此结束,在此状态下清零,异步清零,此状态出现时间极短,不能计入计数循环。,CP,A,CP,B,Q,A,Q,D,Q,B,Q,C,9(2),9(1),R,0(2),R,0(1),74LS290,CP,计数 脉冲,44,8421码制下,:,在Q,D,Q,C,Q,B,Q,A, 0110 时清零,同为六进制计数器,两种码制不同接法的,比较,:,5421码制下:,在Q,A,Q,D,Q,C,Q,B, 1001 时清零,CP,A,CP,B,Q,A,Q,D,Q,B,Q,C,9(2),9(1),R,0(2),R,0(1),74LS290,CP,计数 脉冲,CP,A,CP,B,Q,A,Q,D,Q,B,Q,C,9(2),9(1),R,0(2),R,0(1),74LS290,CP,计数 脉冲,45,(,教材,)二五十进制异步加法计数器74LS290,二进制计数器的时钟输入端为,CP,1,,输出端为,Q,0,;,五进制计数器的时钟输入端为,CP,2,,输出端为,Q,1,、,Q,2,、,Q,3,。,74290包含一个独立的1位二进制计数器和一个独立的异步五进制计数器。,如果将,Q,0,与,CP,2,相连,,CP,1,作时钟脉冲输入端,,Q,0,Q,3,作输出端,则为8421,BCD,码十进制计数器。,R,Q,C1,C1,R,Q,C1,1K,CP,R,1K,1J,1J,1J,1J,1K,Q,1K,R,C1,Q,&,&,S,S,&,3,Q,0,Q,1,Q,Q,2,2,0(1),R,0(2),R,9(1),R,9(2),1,CP,R,如果将,Q,3,与,CP,1,相连,,CP,2,作时钟脉冲输入端,,Q,0,Q,3,作输出端,则为5421,BCD,码十进制计数器。,46,74290的功能:, 异步清零。, 计数。, 异步置数(置9)。,47,三、集成计数器的应用,(1)同步级联,例:,用两片4位二进制加法计数器74161采用同步级联方式构成的8位二进制同步加法计数器,模为1616=256。,1计数器的级联,48,(2)异步级联,例:,用两片74191采用异步级联方式构成8位二进制异步可逆计数器。,49,(3)用计数器的输出端作进位/借位端,有的集成计数器没有进位/借位输出端,这时可根据具体情况,用计数器的输出信号,Q,3,、,Q,2,、,Q,1,、,Q,产生一个进位/借位,。,例:,用两片74290采用异步级联方式组成的二位8421BCD码十进制加法计数器。(模为1010=100),3,Q,2,Q,1,Q,0,Q,74290(1),CP,1,CP,2,R,0(2),R,0(1),R,9(1),9(2),R,Q,0,Q,1,2,Q,Q,3,74290(2),CP,1,CP,2,0(2),R,R,0(1),9(1),R,R,9(2),计数脉冲,置数脉冲,清零脉冲,个位输出,十位输出,0,1,Q,2,Q,Q,3,Q,0,1,Q,2,Q,Q,3,Q,50,2组成任意进制计数器(NM),先将两芯片采用同步级联方式连接成100进制计数器,然后再用异步清零法组成了48进制计数器。,解:,因为,N,48,而74160为模10计数器,所以要用两片74160构成此计数器。,另一种方法是数乘法:48=6,8,用74290如何构成?,55,3组成分频器,模,N,计数器进位输出端输出脉冲的频率是输入脉冲频率的1/,N,,因此可用模,N,计数器组成,N,分频器。,解:,因为32768=2,15,,经15级二分频,就可获得频率为1,Hz,的脉冲信号。因此将四片74161级联,从高位片(4)的,Q,2,输出即可。,例,某石英晶体振荡器输出脉冲信号的频率为32768,Hz,,用74161组成分频器,将其分频为频率为1,Hz,的脉冲信号。,56,其中74161与G,1,构成了一个模5计数器。 ,因此,这是一个01010序列信号发生器,序列长度,P,=5。,4组成序列信号发生器,序列信号,在时钟脉冲作用下产生的一串周期性的二进制信号。,例:用74161及门电路构成序列信号发生器。,57,例,试用计数器74161和数据选择器设计一个01100011序列发生器。,解:,由于序列长度,P,=8,故将74161构成模8计数器,并选用数据选择器74151产生所需序列,从而得电路如图所示。,58,5组成脉冲分配器,59,应用,1,)二进制计数,例,1.,用一片,74LS161,实现十六进制计数器,其,输入端应如何接?,1, ,1,1,1,1,74LS161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,60,例2. 要实现2,12,计数器电路,如何,连接74LS161?,方法一.,74161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1, ,1,74161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1, ,1,74161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1, ,1,1,1,1,CP,61,74161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1, ,1,74161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1, ,1,74161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1, ,1,1,CP,方法二:串行计数,62,方法三:并行计数,74161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1, ,1,74161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1, ,1,74161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1, ,1,1,CP,1,63,2)任意进制加计数器(借助异步清零端),例1.,用74LS161构成十进制计数器。,P,260,置零法, ,1,1,74LS161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,&,64,9,10,1,CP,Q,0,Q,1,Q,2,Q,3,2,1,1,1,1,0,0,0,0,0,0,0,1,0,0,0,0,1,0,0,1,1,65,例2.,用74LS161构成十二进制计数器。, ,1,1,74LS161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,&,66,注意:,1100是暂态,不是主循环状态;,输出由01111000时,如果Q,2,由1 0变化,较慢,则与非门可能会出现清“0”毛刺,造,成误动作,使用时需注意。,67,Q,0,Q,1,Q,2,Q,3,CP,7,8,0,0,1,1,1,1,1,0,1,1,0,0,68,例3.,用74LS161构成200进制计数器。,2,4, 200 2,8,69,74161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C, ,1,74161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C, ,1,1,CP,&,70,3)任意进制加计数器(借助同步加载端),例1.,用74LS161构成十二进制计数器。,方法一:置零法,1,0 0 0 0,1,74LS161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,&,71,方法二:置位法,多余状态,=,(2,4,M),10,=(16,12),10,= 4,10,= (0100),2,1 0,0 1 0,1,74LS161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1,72,状态图:,Q,3,Q,2,Q,1,Q,0,主循环图,0100,0101,1101,1100,0110,0111,1011,1000,1010,1001,1111,1110,73,例1. 用74LS161构成200进制计数器。,方法二:置位法,多余状态,=,(2,8,M),10,=(256, 200,),10,= 56,10,= (00111000),2,74,1,0 0 0 1,1,74LS161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1,1 1 0 0,74LS161,T,P,CP,D,0,D,1,D,2,D,3,Q,0,Q,1,Q,2,Q,3,C,1,CP,75,5.5 计数器应用举例,例1:,数字频率计原理电路的设计。,清零,计数,1 秒钟,显示,76,译码显示,74 LS290,7420,Q,1,Q,1,D,1,Q,0,Q,0,D,0,Q,2,D,2,+5V,手动,自动,u,x,手动清零,CP,R,0(1),R,0(2),CP,A,数字频率计原理图,1Hz !,计数器:用于确定清零、计数、显示的时间。,根据计数器的状态确定何时清零、何时计数、何时显示。,被测信号,77,Q,2,Q,1,Q,0,=001、101时:,u,x,作为CP,A,被送入计数器进行计数,1. 计数显示部分,1,1,1,0,译码显示,74 LS290,7420,Q,1,Q,1,D,1,Q,0,Q,0,D,0,Q,2,D,2,+5V,手动,自动,u,x,手动清零,CP,R,0(1),R,0(2),CP,A,78,Q,2,Q,1,Q,0,=100、000时,:,计数器清零,译码显示,74 LS290,7420,Q,1,Q,1,D,1,Q,0,Q,0,D,0,Q,2,D,2,+5V,手动,自动,u,x,手动清零,CP,R,0(1),R,0(2),CP,A,1,0,1,1,79,译码显示,74 LS290,7420,Q,1,Q,1,D,1,Q,0,Q,0,D,0,Q,2,D,2,+5V,手动,自动,u,x,手动清零,CP,R,0(1),R,0(2),CP,A,Q,2,Q,1,Q,0,=010、011、111、110时:,u,x,被封锁,计数器输出保持。,0,0,80,2. 循环计数器部分,自动时:,译码显示,74 LS290,7420,Q,1,Q,1,D,1,Q,0,Q,0,D,0,Q,2,D,2,+5V,手动,自动,u,x,手动清零,CP,R,0(1),R,0(2),CP,A,Q,2,Q,1,Q,0,0 0 1,0 1 1,1 1 1,1 1 0,1 0 0,Q,2,Q,1,Q,0,组成五进制计数器:,计数,清零,显示,81,手动时:,Q,2,Q,1,Q,0,的状态转换关系,000,001,011,111,计数,显示,手动清零,译码显示,74 LS290,7420,Q,1,Q,1,D,1,Q,0,Q,0,D,0,Q,2,D,2,+5V,手动,自动,u,x,手动清零,CP,R,0(1),R,0(2),CP,A,82,自动测量过程:,000,001,011,111,110,100,手动清零,计数,显示,显示,显示,自动清零,1秒,3秒,1秒,手动测量过程:,手动清零,计数,显示,显示,000,001,011,111,1秒,83,例2.,电子表电路。,功能说明:,2. 只显示 1、2、3、 9、10、11、12 ,十位不显示 “0” !,1. 只计 12 个小时;,小时脉冲,Q,A,Q,D,Q,C,Q,B,Q,显示结果,0 0 0 0 0 1 1,1 0 0 0 1 0 2,2 0 0 0 1 1 3,3 0 0 1 0 0 4,4 0 0 1 0 1 5,5 0 0 1 1 0 6,6 0 0 1 1 1 7,7 0 1 0 0 0 8,8 0 1 0 0 1 9,9 1 0 0 0 0 1 0,10 1 0 0 0 1 1 1,11 1 0 0 1 0 1 2,12 1 0 0 1 1 1 3,0 0 0 0 1 1,十位,个 位,清零,84,如何实现?,小时脉冲,Q,A,Q,D,Q,C,Q,B,Q,显示结果,0 0 0 0 0 1 1,1 0 0 0 1 0 2,2 0 0 0 1 1 3,3 0 0 1 0 0 4,4 0 0 1 0 1 5,5 0 0 1 1 0 6,6 0 0 1 1 1 7,7 0 1 0 0 0 8,8 0 1 0 0 1 9,9 1 0 0 0 0 1 0,10 1 0 0 0 1 1 1,11 1 0 0 1 0 1 2,12 1 0 0 1 1 1 3,0 0 0 0 1 1,十位,个 位,清零,CLR = Q,A,Q,B,Q,R,0(1),= Q,A,Q,B,Q,R,0(2),= 1,85,数字表整体框图,Q,A,Q,D,Q,C,Q,B,Q,D C B A,74LS48,显示,显示,b,c,7 4 L S 9 0,7,个位,十位,74LS20,清零,清零,小时脉冲,J,K,86,例:试用触发器和与非门设计一个红、,黄、绿三色的十字路口交通灯控制电,路,要求按照图示顺序循环工作。,南北向绿灯亮,东西向红灯亮 2分钟,南北向黄灯亮,东西向红灯亮 1分钟,南北向红灯亮,东西向绿灯亮 2分钟,南北向红灯亮,东西向黄灯亮 1分钟,87,解:,1.分析框图,1)需设计的控制系统有6个输出,,无外部输入。,南北向:绿、红、黄灯 S,g,、S,r,、S,y,东西向:绿、红、黄灯 E,g,、E,r,、E,y,设:,灯亮,S,g,、S,r,、S,y,、E,g,、E,r,、E,y,=1,灯灭,S,g,、S,r,、S,y,、E,g,、E,r,、E,y,=0,88,2)每一分钟为一个状态,共需 6 个状态,S,0, S,g,=1, E,r,=1 1分钟,S,1, S,g,=1, E,r,=1 1分钟,S,2, S,y,=1, E,r,=1 1分钟,S,3, S,r,=1, E,g,=1 1分钟,S,4, S,r,=1, E,g,=1 1分钟,S,5, S,r,=1, E,y,=1 1分钟,(南北绿、东西红),(南北绿、东西红),(南北黄、东西红),(南北红、东西绿),(南北红、东西绿),(南北红、东西黄),89,2. 状态编码,M=6,取触发器位数 n = 3,90,3. 编码后的状态图,Q,3,Q,2,Q,1,S,g,S,r,S,y,E,g,E,r,E,y,011,000,001,/100010,/100010,110,101,100,/010100,/010100,/001010,/010001,91,4. 求驱动方程、输出方程,0 1 1 0 0 0 1 0 0 0 1 0,0 0 0 0 0 1 1 0 0 0 1 0,0 0 1 1 0 0 0 0 1 0 1 0,1 0 0 1 0 1 0 1 0 1 0 0,1 0 1 1 1 0 0 1 0 1 0 0,1 1 0 0 1 1 0 1 0 0 0 1,92,0 001 100 000,1 101 110,011,00 01 11 10,0,1,1,0,Q,1,Q,0,Q,2,0,1,00 01 11 10,1,0,93,0,0,0,1,Q,1,Q,0,Q,2,0,1,00 01 11 10,0,1,S,g,1,1,0,0,Q,1,Q,0,Q,2,0,1,00 01 11 10,1,0,S,r,5. 自启动检查,6. 逻辑图,94,第五章,结束,电子技术,数字电路部分,95,作业:,5.,5.,5.9,5.10,5.11,5.12,5.13,P,173,96,5.4 计数器的设计,计数器的设计方法很多,大抵可分为两类:一是根据要求用触发器构成,再就是利用具有特定功能的中规模集成组件适当连接而成。,5.4.1 利用触发器设计某计数电路,举例说明其设计步骤。,例:,数字控制装置中常用的步进电动机有 A、B、C 三个绕组。电动机运行时要求三个绕组以,A,AB,B,BC,C,CA,再回到,A,的顺序循环通电,试设计一个电路实现之。,97,设计步骤(分7步)如下:,(1) 根据任务要求,确定计数器的模数和所需的触发器个数。,本任务所需计数器的模数为 6 ,所以触发器的个数为 3 。,(2) 确定触发器的类型。,最常用的触发器有 D触发器和JK触发器,本任务中选用JK触发器。,001,011,010,110,100,101,(3) 列写状态转换表或转换图。,用三个触发器的输出端Q,A,、Q,B,、Q,C,分别控制电动机的三个绕组A、B、C,并以“1”表示通电,“0”表示不通电。以Q,C,Q,B,Q,A,为序排列:,98,(4) 根据所选触发器的激励表,确定各个触发器在状态转换时对控制端的电平要求。,J K Q,n,Q,n+1,JK触发器的功能表,0 0 0 0,0 0 1 1,0 1 0 0,0 1 1 0,1 0 0 1,1 0 1 1,1 1 0 1,1 1 1 0,99,Q,C,Q,B,Q,A,Q,C,Q,B,Q,A,J,C,K,C,J,B,K,B,J,A,K,A,现 态 次 态 对各控制端的电平要求,0 0 1 0 1 1 0 X 1 X X 0,0 1 1 0 1 0 0 X X 0 X 1,0 1 0 1 1 0 1 X X 0 0 X,1 1 0 1 0 0 X 0 X 1 0 X,1 0 0 1 0 1 X 0 0 X 1 X,1 0 1 0 0 1 X 1 0 X X 0,步进电动机绕组通电激励表,(5) 写出各个控制端的逻辑表达式。,J,C,= Q,A,K,C,= Q,A,J,B,= Q,C,K,B,= Q,C,J,A,= Q,B,K,A,= Q,B,100,R,D,Q,C,Q,C,J,C,K,C,Q,B,Q,B,J,B,K,B,J,A,Q,A,Q,A,K,A,R,D,S,D,预置数,计数脉冲CP,(6) 画出计数器的逻辑电路图。,(7) 检验该计数电路能否自动启动。,本计数电路有三个触发器,可有八个状态组合,可是只用去六个,尚有两 个未利用,因此需要检验一下,若不能自行启动要进行修改。,101,2. 四位二进制同步计数器 74LS163,前面所讲述的74LS290其清零方式通常称为“ 异步清零 ”,即只要 R,0(1),= R,0(2),= 1,不管有无时钟信号,输出端立即为 0;而且它的计数方式是异步的,即CP不是同时送到每个触发器。,下面将要讲述的74LS163,不但 计数方式是同步的,而且它的,清零方式,也是,同步的,:即使控制端CLR0,清零目的真正实现还需等待下一个时钟脉冲的上升沿到来以后才能够变为现实。这就是“,同步清零,”的含义。,102,16,15,14,13,12,11,10,1,2,3,4,5,6,7,8,9,Q,A,Q,D,Q,D,Q,C,Q,B,Q,A,Q,B,Q,C,V,CC,T,T,P,P,CP,A,A,B,B,C,C,D,D,CLR,LOAD,ENABLE,RC,串行进 位输出,允许,允许,GND,时钟,清除,输出,数据输入,置入,74LS163,74LS 163 管脚图,103,T,P,RC,A,B,C,D,Q,B,Q,C,Q,D,Q,A,LOAD,CLR,74LS163,74LS163功能表,1 1 1 1 计 数,0 1 1 1 X 保 持,1 0 1 1 X 保持 ( RC=0 ),X X 0 1 并 行 输 入,X X X
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 大学资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!