课件EDAMAXPlusII快速操作入门(袁)

上传人:a**** 文档编号:241548400 上传时间:2024-07-03 格式:PPT 页数:62 大小:1.49MB
返回 下载 相关 举报
课件EDAMAXPlusII快速操作入门(袁)_第1页
第1页 / 共62页
课件EDAMAXPlusII快速操作入门(袁)_第2页
第2页 / 共62页
课件EDAMAXPlusII快速操作入门(袁)_第3页
第3页 / 共62页
点击查看更多>>
资源描述
MAX+Plus II快速操作入门Altera 公司开发的一个完全集成化、易学易用的可编程逻辑设计环境 MAX+Plus II的优点n开放的界面支持与其他公司所提供的EDA工具的接口n与结构无关提供了与器件结构无关的可编程逻辑设计环境n多平台软件可在多种机器,多种操作系统上运行n完全集成化设计输入、处理与校验功能全部集中在统一的开发环境下n丰富的设计库提供丰富的库单元n模块化工具可以从各种设计输入、处理和校验选项中进行选择,使设计环 n 境用户化n硬件描述语言支持各种HDL设计输入选项nOpencore特征(允许设计人员添加自己认为有价值的宏函数MAX+Plus II 软件的组成和设计流程设计输入工程MAX+Plus II功能概述编译工程校验器件编程MAX+PLUS IIMAX+PLUS II时间分析器时间分析器MAX+PLUS IIMAX+PLUS II图形编辑器图形编辑器MAX+PLUS IIMAX+PLUS II文本编辑器文本编辑器MAX+PLUS IIMAX+PLUS II编程器编程器编译器编译器网表提取器网表提取器适配适配逻辑逻辑 综合器综合器数据库数据库建库器建库器MAX+PLUS IIMAX+PLUS II信息处理器信息处理器和和层次显示层次显示ES-Site&PLS-WEB 允许用户使用允许用户使用 Classic 系列系列,MAX5000 系列系列,MAX7000(S)系列以及系列以及EPM9320,EPF8282A/EPF8452A,EPF6016,EPF10K10器件完成设计器件完成设计MAX+Plus II功能概述nMAX+Plus II设计输入n 图形编辑器*.gdfn AHDL语言*.tdfn VHDL语言*.vhdn Verilog语言*.vn 波形编辑器*.wdf用于建立和编辑波形设计文件、n 输入仿真向量和功能测试向量MAX+Plus II功能概述n设计编译n 通过MAX+Plus II编译器完成,可检查工程是否有错,并对工程进行逻辑综合,然后配置到一个ALTERA器件中,同时产生报告文件、编程文件和用于时间仿真的输出文件。MAX+Plus II功能概述n设计验证n 通过MAX+Plus II的定时分析器进行时序分析、功能仿真、时序仿真和波形分析。n器件编程Programming)n 使用编译器生成的编程文件对器件进行编程。MAX+Plus II支持的器件 EPF8282 EPF10K10 EPF10K10A EPF10K20 EPF10K30A MAX5000系列 MAX7000系列 EPM9320 FLEX6000MAX+Plus II的安装运行光盘中的安装程序n然后按照屏幕上的提示进行操作.在安装过程中,如果需要帮助,那么选择 Help 按钮。n当 MAX+PLUS II安装成功后,read.me 文件将自动出现.它含有一些重要的信息,用户应当仔细阅读它.n在 开始 菜单中选择 运行,然后在 翻开 对话框内输入::pcmaxplus2setup 第一次运行 MAX+PLUS II:双击 MAX+PLUS II 图标 或在 开始开始 菜单内选择 MAX+PLUS II 项,开始运行 MAX+PLUS IIMAX+Plus II的安装MAX+Plus II的安装nLicense Setup窗口MAX+Plus II的安装n为了获得授权码,请先点选Options/License SetupMAX+Plus II的安装n点击System Info获得硬盘序列号MAX+Plus II的安装n登陆 :/申请License.dat文件点击这里进入License申请MAX+Plus II的安装n选择产品及产品版本,并输入硬盘序列号MAX+Plus II的安装n填写个人资料,E-Mail地址最重要MAX+Plus II的安装nCopy License.dat文件到:硬盘maxplus2 输入路径:硬盘maxplus2license.dat常用菜单简介常用菜单简介 n主菜单(该主菜单随所选功能的不同而不同)MAX+PLUS菜单 文件菜单 模板菜单 该模板使编写VHDL和AHDL设计文件更容易和方便。指定菜单 选择菜单 MAX+Plus II快速操作n建立新档案n编辑电路架构n储存、检查及编译n功能模拟n平面配置与编译n芯片烧录n电路测试MAX+Plus II快速操作解码器电路图MAX+Plus II快速操作n建立新专案选择File/Project/Name输入专案名称:cpldexprimitMAX+Plus II快速操作n编辑电路架构绘制电路图在 File 菜单中选择 New选择 Graphic Editor File 然后按下OK按钮,将会出现一个无标题的图形编辑窗口,如下页所示MAX+Plus II快速操作n编辑电路架构绘制电路图工具栏工作区域MAX+Plus II快速操作n编辑电路架构绘制电路图由ALTERA公司所提供的PRIM组件库在图形编辑器空白处单击鼠标左键确定输入位置,然后选择Enter Symbol,或双击鼠标左键。出现Enter Symbol对话框,在Symbol libraries中选择“.maxplus2max2libprim 注:所有根本逻辑函数将列表显示MAX+Plus II快速操作n编辑电路架构绘制电路图在Symbol Files中选“not,黑点处即出现一非门画直角线工具同样选出“input元件,接着按下“画直角线工具,连接“not和“input元件如左图MAX+Plus II快速操作n编辑电路架构绘制电路图依上述方法完成电路图MAX+Plus II快速操作n编辑电路架构绘制电路图在“input及“output元件的PIN_NAME上按两下,分别键入输入、输出脚的名称MAX+Plus II快速操作n储存、检查及编译选择File/Project/Save&Complie存档完成后,自动开启编译器执行电路的编译MAX+Plus II快速操作n功能模拟点选MAX+plus II/Waveform Editor开启波形编辑器MAX+Plus II快速操作n功能模拟在Node菜单下点选Enter Nodes from SNF,选取波形输入与观测点。MAX+Plus II快速操作n功能模拟点选List按钮,列出所有观测点;选择所需观测点并确认无误后,点选OKMAX+Plus II快速操作n功能模拟在Options下选择Grid Size修改时间格线的大小,预设值为10ns,我们在此改为100ns.MAX+Plus II快速操作n功能模拟在File下点选End Time设定模拟时间,在此保存预设值1sMAX+Plus II快速操作n功能模拟设定输入脚的波形如下图:MAX+Plus II快速操作n功能模拟执行File/Save AS,保存波形设定档点选MAX+Plus II/Simulator,开启功能模拟器,进行功能模拟。MAX+Plus II快速操作n功能模拟按下Start开始模拟按下Open SCF查看模拟结果n一旦电路设计、编译和仿真等步骤完成后,就可以选取File/Create Default Symbol菜单,将该电路转换成一个单元电路,调用该单元电路就象调用其它单元电路一样方便、简单。使用使用VHDL语言设计例语言设计例 例:设计一个加法器第一步:进入Max+plus2软件环境第二步:建立工程名称,选择File/Project/Name菜单第三步:建立新文件,选择File/New菜单,进入文本编辑器Text Editor File窗口第四步:输入VHDL语言编写的源程序,如下图,这是对一个加法器进行的描述。输入完毕后存盘。n第五步:选File/Project/Save&Compile菜单,编译该VHDL源文件n 假设有错误那么改错,然后再编译,直至无错编译成功n第六步:选Max+plus2Waveform Editor菜单,进入波形编辑窗口,编辑输入波形如下图。第七步:选择Max+plus2/Simulator菜单,仿真结果如下图。用VHDL语言描述的电路同样可以使用File/Create Default Symbol菜单,将该电路转换成一个单元电路,在图形编辑器中调用该单元电路就象调用其它单元电路一样方便、简单。MAX+Plus II快速操作n平面配置及编译选择CPLD芯片型号Assign/DeviceMAX+Plus II快速操作n平面配置及编译选File/Project/Save&Check做保存及绘图结构检测MAX+Plus II快速操作n平面配置及编译脚位定义:选MAX+plus II/Floorplan Editor再选Layout/Device View接脚名称假设无接脚名称,点此按钮即可MAX+Plus II快速操作n平面配置及编译将输入、输出脚位拖拉到如下图位置MAX+Plus II快速操作n平面配置及编译点选File/Project/Save&Compile,完成平面配置及编译MAX+Plus II快速操作完成Save&Compile后,会在电路图上标出对应的脚位MAX+Plus II快速操作n至此,我们完成了MAX+Plus II的根本范例操作,其流程如下:n n下面要进行的是:芯片烧录 电路测试 选择一种全局逻辑综合方式选择一种全局逻辑综合方式n您可以为您的工程选择一种逻辑综合方式,以便在编译过程中指导编译器的逻辑综合模块的工作。按以下步骤为您的工程选择一种逻辑综合方式:2)在 Global Project Synthesis Style 下拉列表中选择您需要的类型。缺省Default的逻辑综合类型是 NORMAL。综合类型 FAST 可以改善工程性能,但通常使您的工程配置比较困难。综合类型 WYS/WYG可进行最小量逻辑综合。3)您可以在此0和10之间移动滑块,移到 0 时,最优先考虑占用器件的面积,移到10时,系统的执行速度得到最优先考虑1)在 Assign Menu 菜单内选择Global Project Logic Synthesis项,将出现Global Project Logic Synthesis 对话框:编译您的工程 设置定时要求设置定时要求n您可以对整个工程设定全局定时要求,如:传播延时,时钟到输出的延时,建立时间和时钟频率。对于FLEX 8000,FLEX 10K and FLEX 6000 系列器件,定时要求的设置将会影响工程的编译。n按如下步骤设置定时要求:2)在相应的对话框内输 入您对工程的定时要求 3)按下 OK 按钮1)在 Assign Menu菜单内,选择 Global Project Timing Requirements项,将出现 Global Project Timing Requirements 对话框:编译您的工程启动定时分析工具启动定时分析工具n编译完成后,您可以利用定时分析器来分析您的工程的性能。定时分析器提供了三种分析模式:n在 MAX+PLUS II 菜单中选择 Timing Analyzer 项,即可翻开定时分析器窗口:定时分析 传播延迟分析传播延迟分析n在 Analysis菜单中选择Delay Matrix项。n选择 Start。那么定时分析器立即开始分析您的工程并计算工程中每对连接的节点之间的最大和最小传播延迟。定时分析 时序逻辑电路性能分析时序逻辑电路性能分析n在 Analysis 菜单内选择Register Performance项。n选择 Start 就开始进行时序逻辑电路性能分析。翻开信息处理窗口并显示延迟路径显示被分析的时钟信号的名称显示制约性能的源节点的名称显示制约性能的目标节点的名称显示在给定时钟下,时序逻辑电路要求的最小时钟周期显示给定的时钟信号的最高频率选择 Start,开始进行时序逻辑性能分析定时分析建立和保持时间分析建立和保持时间分析n在 Analysis菜单中选择 Set/Hold Matrix项。n选择 Start 开始进行建立/保持时间分析。定时分析翻开编程器窗口翻开编程器窗口n首先确认编程器硬件已安装好。然后按如下步骤翻开编程器窗口:在 MAX+PLUS II 菜单中选择 Programmer 项。编程器窗口如以下图所示。将一个编程文件中的数据编程到一个 MAX 或 EPROM 器件中校验器件中的内容是否与当前编程数据内容相同检查确认器件是否为空为当前编程文件翻开保密位选项显示工程的编程文件完成情况状态条将配置数据下载到一个 FLEX 器件中显示工程中所用的 Altera 器件的名称器件编程1.在 Option 菜单内选择Hardware Setup 项,然后在 Hardware Type 对话框 内选择适当的 Altera 编程器,最后按下 OK按钮。2.在编程器窗口中,检查您选择的编程文件和器件是否正确。在利用利用 Altera 编程器对编程器对 MAX 和和 EPROM 系列器系列器件进行编程件进行编程 对 MAX 和 EPROM 器件进行编程时,要用后缀名是.pof 的文件.如果选择的编程文件不正确,可在 File 菜单中选择 Select Programming File 命令选择您的编程文件。3.将您的器件插到编程插座中。4.按下 Program 按钮。编程器将检查器件,并将您的工程编程到器件中,而且还将检查器件中的内容是否正确。器件编程
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业管理 > 商业计划


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!