微处理器(第2讲第4讲)xiugai课件

上传人:痛*** 文档编号:241326206 上传时间:2024-06-18 格式:PPTX 页数:52 大小:542.78KB
返回 下载 相关 举报
微处理器(第2讲第4讲)xiugai课件_第1页
第1页 / 共52页
微处理器(第2讲第4讲)xiugai课件_第2页
第2页 / 共52页
微处理器(第2讲第4讲)xiugai课件_第3页
第3页 / 共52页
点击查看更多>>
资源描述
第第1.31.3 微型计算机系统的组成、分类微型计算机系统的组成、分类及配制及配制11.3.1 微型机算计的构成微型机算计的构成 微型计算机系统的三个层次微型计算机系统的三个层次 微处理器微处理器(Microprocessor)微型计算机微型计算机(Microcomputer)微型计算机系统微型计算机系统(Microcomputer System)2微型计算机系统的三个层次微型计算机系统的三个层次微处理器微处理器存储器I/O接口总线 硬件系统软件系统微微 型型计算机计算机系系 统统微微 型型计算机计算机(主主机机)外 设ALU寄存器控制器键盘、鼠标显示器软驱、硬盘、光驱 打印机、扫描仪系统软件应用软件3l第一层次:第一层次:核心级核心级微处理器l微处理器简称CPU,是计算机的核心,主要包括:运算器运算器ALU 控制器控制器CU 寄存器组寄存器组RegisterslCPU实现了运算功能和控制功能4l第二层次:第二层次:硬件系统级硬件系统级微型计算机微型计算机l以微处理器为核心,配上只读存储器(ROM)、读写存储器(RAM)、输入/输出(I/O)接口电路及系统总线等部件,就构成了微型计算机。l将CPU、存储器、I/O接口、总线等集成在一片超大规模集成电路芯片上,称为单片微型计算机,简称单片机。5l第三层次:第三层次:系统级系统级l以微型计算机为中心,配以相应的外围设备以及控制微型计算机工作的软件,就构成了完整的微型计算机系统。u微型计算机如果不配有软件,通常称为裸机u软件分为系统软件和应用软件两大类。6存储器I/O接口输入设备I/O接口输出设备CPUI/O接口AB:Address BusDB:Data BusCB:Control BusABDBCB7有关内存储器的几个概念l内存单元的地址和内容内存单元的地址和内容l内存容量内存容量8内存单元的地址和内容l内存包含有很多存储单元内存包含有很多存储单元(每个内存单元包含每个内存单元包含8bit),为区,为区分不同的内存单元,对计算机中的每个内存单元进行编分不同的内存单元,对计算机中的每个内存单元进行编号,号,内存单元的编号就称为内存单元的地址。内存单元的编号就称为内存单元的地址。1 0 1 1 0 1 1 038F04H内存单内存单元地址元地址内存单内存单元内容元内容.Bit 7 6 5 4 3 2 1 00 1 0 1 1 0 0 0*内存单元有时内存单元有时又称为又称为地址单元地址单元9内存容量l即内存单元的个数即内存单元的个数,以字节为单位。,以字节为单位。l注意:注意:内存空间内存空间与与内存容量内存容量的区别的区别 内存容量内存容量:实际配置的内存大小。例:某微机配置:实际配置的内存大小。例:某微机配置2条条 128MB的的SDRAM内存条,其内存容量为内存条,其内存容量为256MB 内存空间内存空间:又称为存储空间、寻址范围,是指微机的:又称为存储空间、寻址范围,是指微机的 寻址能力,与寻址能力,与CPU的地址总线宽度有关。的地址总线宽度有关。10 输入/输出接口l简写为简写为I/O接口,是接口,是CPUCPU与外部设备间的桥与外部设备间的桥梁梁CPUI/O接口接口外设外设11 CPUCPU总线总线BUSBUSlCPU连接外部多个功能部件的一组公共信号线连接外部多个功能部件的一组公共信号线 CPU的寻址范围的寻址范围=2n,n地址线根数地址线根数l地址总线地址总线AB:用来传送:用来传送CPU输出的地址信号,确输出的地址信号,确定被访问的存储单元、定被访问的存储单元、I/O端口。端口。地址线的根数地址线的根数决定决定了了CPU的寻址范围的寻址范围。l数据总线数据总线DB:在:在CPU与存储器、与存储器、I/O接口之间数据接口之间数据传送的公共通路。传送的公共通路。数据总线的条数数据总线的条数决定决定CPU一次最一次最多可以传送的数据宽度。多可以传送的数据宽度。l控制总线控制总线CB:用来传送各种控制信号用来传送各种控制信号微型计算机微型计算机的软件系统的软件系统 121.4 8086/80881.4 8086/8088微处理器微处理器l1.4.1 概述1、8088/8086 CPU的功能结构131.执行部件EU组成:内部寄存器组、算术逻辑运算单元ALU、标志寄存器FR以及内部控制逻辑电路功能:负责指令的执行:一是进行算术逻辑运算,二是计算指令中变量所在单元地址的偏移量 执行部件执行部件EU(Execution Unit)8086CPU 总线接口部件总线接口部件BIU(Bus Interface Unit)142.2.总线接口部件总线接口部件BIUBIU负责负责CPUCPU与存储器、与存储器、I/OI/O设备之间传送数据、地址、状设备之间传送数据、地址、状态以及控制信息。态以及控制信息。组成:段寄存器组组成:段寄存器组、指令指针寄存器指令指针寄存器、地址加法器、地址加法器、内部暂存器、指令队列缓冲器、内部暂存器、指令队列缓冲器、I/OI/O控制逻辑电路等。控制逻辑电路等。功能:完成所有外部总线的操作:取指令、读功能:完成所有外部总线的操作:取指令、读/写操写操作数、地址变换和总线操作等作数、地址变换和总线操作等151.执行部件EUEUEU组成:内部寄存器组、算术逻辑运算单元组成:内部寄存器组、算术逻辑运算单元ALUALU、标志标志寄存器寄存器FRFR以及内部控制逻辑电路以及内部控制逻辑电路(1 1)内部寄存器组)内部寄存器组AXAX、BXBX、CXCX、DXDX、SISI、DIDI、BPBP、SPSP 通用数据寄存器通用数据寄存器用来暂存计算过程中所用到的操作数,结果或其它信息。用来暂存计算过程中所用到的操作数,结果或其它信息。(1616位)位)AXAX、BXBX、CXCX、DXDX (8 8位)位)AHAH、ALAL、BHBH、BLBL、CHCH、CLCL。AXAX(AHAH、ALAL):累加器,它是算术运算的主要寄存器,所有:累加器,它是算术运算的主要寄存器,所有I/OI/O指令都使用这一寄存器与外部设备交换数据。指令都使用这一寄存器与外部设备交换数据。BXBX(BHBH,BLBL):):基址寄存器,基址寄存器,对内存储器寻址时,常用来存放对内存储器寻址时,常用来存放基址。基址。16CX(CH,CL):计数器存器,计数器存器,在在循环循环LOOPLOOP指令和串处理指令指令和串处理指令中用作隐含计数器中用作隐含计数器。DX(DH,DL):数据寄存器数据寄存器,在,在I/O指令中可用作存放端口指令中可用作存放端口地址寄存器;地址寄存器;DX可用来存放可用来存放I/O的端口地址(的端口地址(口地址口地址 256)。)。在乘除运算中用作辅助累加器。在乘除运算中用作辅助累加器。17 指针和变址寄存器BP、SP、SI、DI (16位寄存器)l 指针寄存器BP,SP:BP基址指针寄存器,通常用作对堆栈段的寻址,可以与SS寄存器联合使用来确定堆栈段中某一存储器单元地址。SP堆栈指针寄存器,指向堆栈段的栈顶,必须与SS段寄存器联合使用确定实际地址。l 变址寄存器SI,DI:SI源变址寄存器,DI目的变址寄存器。18(2)算术逻辑单元(ALU)及标志寄存器(FR)ALU完成16位或8位的算术或逻辑运算,并根据运算结果在标志寄存器中建立相应的标志。FR是16位寄存器,用到了9位 状态标志位程序运行的状态信息 CF、AF、PF、ZF、SF、OF 控制标志位用于控制机器或程序的某些运行过程 IF、DF、TF15141312 1110 9876543210OFDFIFTFSFZF-AF-PF-CF19l 状态标志位l 执行完一次运算后,由硬件根据条件是否满足,从而将相应位置为1或0lCFCarry Flag,进位标志l 加法运算时,最高位产生进位,则CF=1,否则CF=0l 减法运算时,最高位产生借位,则CF=1,否则CF=0lPFParity Flag,奇偶标志 运算结果的低8位中,有偶数个“1”,则PF=1,否则PF=020AFAuxiliary Carry Flag,辅助进位标志辅助进位标志 加减运算时,若加减运算时,若D3向向D4有进位或借位,则有进位或借位,则AF=1,否则否则AF=0ZHZero Flag,零标志位零标志位 若运算结果为若运算结果为0,则,则ZF=1,否则否则ZF=0SFSign Flag,符号标志位符号标志位 在字运算时,若结果的在字运算时,若结果的D15=1,则则SF=1,若若D15=0,则则SF=0 在字节运算时,若结果的在字节运算时,若结果的D7=1,则则SF=1,若若D7=0,则则SF=021OFOverflow Flag,溢出标志 当运算结果超出了机器所能表示的数的范围时,为溢出,OF=1,否则 OF=0。字节运算,结果超出字节运算,结果超出-128+127 溢出,溢出,OF=1字运算中,结果超出字运算中,结果超出-32768+32767 实际机器处理时是判断最高位和次高位的进位是否相同:实际机器处理时是判断最高位和次高位的进位是否相同:若相同,则若相同,则OF=0,若不同,则若不同,则OF=1(有溢出)。有溢出)。22带符号数计算中,如果有溢出,结果错误带符号数计算中,如果有溢出,结果错误对于无符号数来说,进位位是有效结果的一部分对于无符号数来说,进位位是有效结果的一部分 状态标志判断l例:计算1000 1000+1000 1100 l 二进制运算 十六进制运算 带符号数运算 无符号数运算 136+140 276=20+256 -120+-140 -236 88H+8CH 1 14H 1000 1000+1000 1100 1 0001 0100运算结果标志位:运算结果标志位:CF=,PF=,AF=,ZF=,SF=,OF=1 1 0 10 10 00111 0 1 023l 控制 标志lDF(Direction Flag),方向标志,用于串处理指令中控制串处理的方向l 当DF=0时,SI,DI增量,由低地址高地址,指令格式:CLD l 当DF=1时,SI,DI减量,由高地址低地址,指令格式:STDlIF(Interrupt Flag)中断允许标志,用于控制CPU是否响应可屏蔽中断请求。l IF=1,允许响应可屏蔽中断,STIl IF=0,禁止响应可屏蔽中断,CLIlTF(Trap Flag)陷阱标志,用于单步操作l TF=1,产生一个单步中断,使CPU处于单步执行指令工作方式,便于进行程序调试,用户能检查程序。l TF=0,则程序连续执行。24l负责CPU与存储器、I/O设备之间传送数据、地址、状态以及控制信息。l组成:段寄存器组、指令指针寄存器、地址加法器、内部暂存器、指令队列缓冲器、I/O控制逻辑电路等。l功能:完成所有外部总线的操作:取指令、读/写操作数、地址变换和总线操作等 l(1)段地址寄存器l段寄存器:用来存放段地址的寄存器l DS数据段寄存器l ES附加段寄存器l SS堆栈段寄存器l CS代码段寄存器l 2.总线接口部件BIU25(2)指令指针寄存器()指令指针寄存器(IP)16位的寄存器,又叫程序计数器,存放将要执行指位的寄存器,又叫程序计数器,存放将要执行指令的偏移地址。令的偏移地址。(3)地址加法器地址加法器 将段寄存器内容左移将段寄存器内容左移4位,再加上偏移地址,形成位,再加上偏移地址,形成20位的物理地址,之后将其放到地址总线上。位的物理地址,之后将其放到地址总线上。26(4)指令队列缓冲器)指令队列缓冲器 与与CPU速度匹配的高速缓冲寄存器,速度匹配的高速缓冲寄存器,8086缓冲器为缓冲器为6字节,字节,8088缓冲器为缓冲器为4字节,存放从内存中取来的将要执行字节,存放从内存中取来的将要执行的下一条或下几条指令。的下一条或下几条指令。当指令队列缓冲器中有空闲时,当指令队列缓冲器中有空闲时,BIU自动以自动以CS为段地为段地址,以址,以IP的值为偏移地址,合成一个物理地址,从这个地的值为偏移地址,合成一个物理地址,从这个地址中取出下一条指令填入指令队列缓冲器中。址中取出下一条指令填入指令队列缓冲器中。EU从队列中取一条指令比从队列中取一条指令比BIU从内存中取一条指令从内存中取一条指令要快得多。取指和分析执行指令可以同步进行。要快得多。取指和分析执行指令可以同步进行。(5)输入)输入/输出(输出(I/O)控制电路控制电路总线控制逻辑总线控制逻辑控制控制CPU与其他部件交换数据、地址、状态及控制信息。与其他部件交换数据、地址、状态及控制信息。27lBIU和EU的管理BIU和和EU的相互配合:的相互配合:当当8086CPU的指令队列缓冲器中有的指令队列缓冲器中有2字节空闲时,字节空闲时,BIU自动自动将下一条指令取到队列中。将下一条指令取到队列中。当当EU要执行一条指令时,从指令队列的头部取走,队列中要执行一条指令时,从指令队列的头部取走,队列中后续指令自动向前推进。后续指令自动向前推进。EU在执行指令中若要访问存储器或在执行指令中若要访问存储器或I/O设备,要通过设备,要通过BIU完完成,因为成,因为EU不与系统总线直接相连不与系统总线直接相连 当指令队列已满,当指令队列已满,EU又没有申请总线,则又没有申请总线,则BIU处于空闲状处于空闲状态。态。当遇到转移、调用及返回指令时,当遇到转移、调用及返回指令时,BIU就自动清除指令队列就自动清除指令队列中已有内容,从新地址取指令,重新填充队列缓冲器。中已有内容,从新地址取指令,重新填充队列缓冲器。282、8088 CPU的引脚8086CPUGNDAD0NMICLKRESETREADYTESTINTA(QS1)ALE(QS0)DEN(S0)DT/R(S2)M/IO(S1)WRVccAD15A16/S3A17/S4A18/S5A19/S6BHE/S7MN/MXRDHLDAHOLDAD14AD7AD8INTRGND8086 CPU引脚如图所示。引脚如图所示。为了减少引脚,一些引为了减少引脚,一些引脚采用双重定义,具有脚采用双重定义,具有复用功能。如复用功能。如AD15AD0这这16个引脚,有时个引脚,有时CPU输出地址信号,有输出地址信号,有时传送数据信号。时传送数据信号。有些引脚的功能因有些引脚的功能因CPU的工作模式不同而有所的工作模式不同而有所不同。不同。29(1)Vcc和和GND 电源和地线,电源电源和地线,电源Vcc5V,有两条地线。有两条地线。(2)AD15AD0(Address Data Bus)这是分时复用的地址这是分时复用的地址/数据总线。传输地址时,三态数据总线。传输地址时,三态输出;传送数据时,三态输入输出;传送数据时,三态输入/输出。所谓三态,除输出。所谓三态,除“0”、“1”两种状态外,还有一种浮空(高阻)状态;通常采用两种状态外,还有一种浮空(高阻)状态;通常采用三态门进行控制。三态门进行控制。(3)A19/S6A16/S3(Address/Status)为分时复用的地址为分时复用的地址/状态线(三态、输出)。状态线(三态、输出)。(4)NMI(Non-Maskable Interrupt Request)非屏蔽中断请求,输入,上升沿有效。它不受中断非屏蔽中断请求,输入,上升沿有效。它不受中断 允许标志允许标志IF的影响,只要的影响,只要NMI出现,出现,CPU就会在结就会在结 束当前指令后,进入相应的中断服务程序。束当前指令后,进入相应的中断服务程序。30l(5)INTR(Interrupt Request)l 可屏蔽中断请求,输入,高电平有效。当可屏蔽中断请求,输入,高电平有效。当INTR1时,表示外设向时,表示外设向CPU提出中断请求,若此时中断允提出中断请求,若此时中断允许标志许标志IF1,则则CPU响应中断,暂停正在执行的程序,响应中断,暂停正在执行的程序,转去执行中断服务程序。转去执行中断服务程序。l(6)CLK(Clock)时钟输入。时钟输入。l(7)RESET 复位信号,输入,复位信号,输入,4个时钟周期的高电个时钟周期的高电平有效平有效 复位。复位。l(8)READY 准备就绪信号,输入,高电平有效。准备就绪信号,输入,高电平有效。表示内存或表示内存或I/O设备准备好。设备准备好。31(9)TEST测试信号,输入,低电平有效。当测试信号,输入,低电平有效。当CPU执行执行WAIT指令时,指令时,CPU等待,只有检测到等待,只有检测到TEST有效时,有效时,结束等待,继续执行。结束等待,继续执行。(10)RD(Read)读信号,三态输出,低电平有效。读信号,三态输出,低电平有效。当当0时,表示时,表示CPU当前正在读存储器或当前正在读存储器或I/O接口。接口。(11)MN/MX 最大最小模式控制,输入,接高电平为最大最小模式控制,输入,接高电平为最小模式,接地时为最大模式。最小模式,接地时为最大模式。323.最小工作模式最小工作模式 当控制工作模式的引脚当控制工作模式的引脚MN/MX接正电源时接正电源时,8086 CPU将工作在最小模式状态,此时系统控制线全部由将工作在最小模式状态,此时系统控制线全部由8086发发出。出。(1)INTA(Interrupt Acknowledge)中断响应信号,输出,低电平有效。中断响应信号,输出,低电平有效。INTA 0时,表时,表示示CPU响应了外设发来的中断请求信号响应了外设发来的中断请求信号INTR。(2)ALE(Address Latch Enable)地址锁存允许信号,输出,高电平有效。地址锁存允许信号,输出,高电平有效。(3)DEN(Data Enable)数据允许信号,三态输出,低电平有效。数据允许信号,三态输出,低电平有效。(4)DT/R(Data Transmit/Receive)数据发送数据发送/接收控制信号,三态,输出。接收控制信号,三态,输出。33(5)M/IO(Memory/IO)(IO/M)存储器或存储器或I/OI/O接口访问信号,三态输出。接口访问信号,三态输出。(6)WR(Write)写信号,输出,三态,低电平有效。写信号,输出,三态,低电平有效。(7)HOLD(Hold Request)总线请求信号,输入,高电平有效。总线请求信号,输入,高电平有效。(8)HLDA(Hold Acknowledge)总线请求响应信号,输出,高电平有效。总线请求响应信号,输出,高电平有效。34lss0 系统状态信号输出端系统状态信号输出端8088 IO/M DT/R SS0组合及对应操作组合及对应操作35lBHE/S7(Bus High Enable/Status)l高高8位数据总线有效位数据总线有效/状态复用引脚,三态,输出。状态复用引脚,三态,输出。lA0:低低8位数据总线有效。位数据总线有效。如果一个字是从偶地址开始存放,这种存放方式称为对准存放,如果一个字是从偶地址开始存放,这种存放方式称为对准存放,这样存放的字称为规则字。如果一个字从奇地址开始存放,这种这样存放的字称为规则字。如果一个字从奇地址开始存放,这种存放方式称非对准存放,这样存放的字称为不规则字。存放方式称非对准存放,这样存放的字称为不规则字。36 在在8086系统中,存储器采用分体结构,即系统中,存储器采用分体结构,即1MB的存储空的存储空间分成两个间分成两个512KB的存储体,一个存储体中包含偶数地址,的存储体,一个存储体中包含偶数地址,另一个存储体包含奇数地址。如下图所示。另一个存储体包含奇数地址。如下图所示。07815000010000300005000000000200004220-1=FFFFF220-2=FFFFE512K8(位位)512K8(位位)奇地址奇地址存储体存储体偶地址偶地址存储体存储体(A0=1)(A0=0)370000000001000020000300004000050000600007FFFFEFFFFF0A43304B规则字规则字 不规则字不规则字 8086 CPU数数据据总总线线是是16位位,对对于于存存取取一一个个字字节节的的操操作作,需需要要一一个个总总线线周周期期,对对于于存存取取一一个个偶偶地地址址字字的的操操作作,也也是是需需要要一一个个总总线线周周期期,而而对对于于存存取取一一个个奇奇地地址址字字的的操操作作,则则需需要要两两个个总总线线周期(周期(CPU自动完成)。自动完成)。38 当当8086 CPU的的MN/MX引脚接高电平时,引脚接高电平时,8086工作工作于最小模式于最小模式,其系统总线的形成如下图其系统总线的形成如下图所示。所示。+5V系统总线系统总线8284RDYCLKRESETREADYMN/MXINTRINTARDWRM/IOALESTBBHEA19A16AD15AD08282(3片片)OE地址锁存器地址锁存器收发器收发器(2片片)8286OET(可选)(可选)DENDT/R8086CPURES复位信号复位信号准备就绪准备就绪39 在最小模式系统中,控制总线由在最小模式系统中,控制总线由8086 CPU直接提供,用直接提供,用3片片地址锁存器地址锁存器8282形成地址总线形成地址总线A19A0,用两片收发控制器用两片收发控制器8286形形成数据总线成数据总线D15D0。用锁存器用锁存器8282形成地址总线。(形成地址总线。(74LS37374LS373)8282是带三态缓冲器的通用是带三态缓冲器的通用8位数据锁存器,位数据锁存器,D D触发器触发器 当当82828282的选通端的选通端STBSTB有效时,由高电平变为低电平,输入端有效时,由高电平变为低电平,输入端DIDI7 7DIDI0 0上的上的8 8位数据锁存于触发器中。位数据锁存于触发器中。D D触发器的输出送至三态门的触发器的输出送至三态门的输入端,当输出允许控制端有效时,数据从输入端,当输出允许控制端有效时,数据从DODO7 7DODO0 0输出。输出。8282 8282锁存器既可锁存数据又可锁存地址。锁存器既可锁存数据又可锁存地址。40 在在最最小小模模式式系系统统总总线线图图中中,用用三三片片8282形形成成20位位系系统统地地址址线线。其其中中一一片片的的输输入入端端接接CPU的的地地址址/状状态态线线A19/S6A16/S3及及BHE/S7,形形成成地地址址线线A19A16及及控控制制信信号号BHE,另另外外两两片片的的输输入入端端分分别别按按CPU的的地地址址/数数据据线线AD15AD8和和AD7AD0,形成地址线形成地址线A15A8和和A7A0。8282的选通端的选通端STB接接CPU的的ALE端,端,OE接地。接地。在在总总线线周周期期T1状状态态时时,在在ALE信信号号作作用用下下,将将20位位地地址址码码锁存在三片锁存器中并送至地址总线上。锁存在三片锁存器中并送至地址总线上。41 用数据收发器用数据收发器8286形成数据总线。形成数据总线。8286是专门用于是专门用于控制数据双向传输的芯片。(控制数据双向传输的芯片。(74LS245,74LS244)数据端数据端A7A0和和B7B0均可用于输入或输出,由均可用于输入或输出,由OE和和T端确定数据的传输方向。端确定数据的传输方向。当当OE0时,允许芯片工作。时,允许芯片工作。T1,则则A7A0为输入,为输入,B7B0为输出,数据从为输出,数据从A端端传输到传输到B端输出;端输出;T0,则则B端为输入,端为输入,A端为输出,数据从端为输出,数据从B端传输到端传输到A端输出。端输出。当当OE1时,时,A、B均为高阻状态,芯片不工作。用两均为高阻状态,芯片不工作。用两片片8286形成形成16位系统数据总线。位系统数据总线。OE DEN T DT/R42 当当8086 CPU的的MN/MX引引脚脚接接低低电电平平时时,8086工工作作于于最最大大模模式式,在在最最大大模模式式系系统统中中,地地址址总总线线和和数数据据总总线线的的形形成成与与最最小小模模式式相相同同,也也是是由由三三片片8282形形成成地地址址总总线线,由两片由两片8286形成数据总线。形成数据总线。控控制制总总线线是是通通过过总总线线控控制制器器8288形形成成的的。总总线线控控制制器器8288,专专门门用用于于8086最最大大模模式式下下产产生生控控制制信信号号,8086的的状状态态信信号号S2、S1、S0,输输入入到到8288后后,产产生生命命令令信信号号(即控制信号)。(即控制信号)。4.最大工作模式最大工作模式43448088、8086基本类似基本类似l除指令队列外,内部构成完全相同、相同的指令系除指令队列外,内部构成完全相同、相同的指令系统、统、AB宽度宽度20位,都有位,都有220=1M的寻址空间。的寻址空间。差别:差别:l指令预取队列:指令预取队列:8088为为4字节,字节,8086为为6字节字节l数据总线引脚:数据总线引脚:8088有有8根,根,8086有有16根根 8088为准为准16位位CPU,内部,内部DB为为16位,但外部位,但外部仅为仅为8位,位,16位数据要分两次传送。位数据要分两次传送。l除此之外,第除此之外,第28脚、第脚、第34脚有差别。脚有差别。455、8086/8088的存储器管理的存储器管理8086有有16根数据线(根数据线(D15D0),),20根地址线根地址线(A19A0),外部寻址空间为外部寻址空间为220=1MB,地址空间为地址空间为00000H 0FFFFFH。20位的地址称为位的地址称为物理地址 内部寄存器都是内部寄存器都是16位,内部寻址空间为位,内部寻址空间为216=64KB,0000H 0FFFFH。如何用如何用1616位寄存器表示位寄存器表示2020位地址?位地址?解决方法:存储器分段解决方法:存储器分段46每个段的起始地址低每个段的起始地址低4位一般为位一般为0,高,高16位称为段基址。位称为段基址。47(2)逻辑地址和物理地址)逻辑地址和物理地址逻辑地址包括:段基址和偏移地址逻辑地址包括:段基址和偏移地址段基址和段内偏移地址都是段基址和段内偏移地址都是16位的无符号二进制数。位的无符号二进制数。物理地址:绝对地址,物理地址:绝对地址,20位。位。481616位段基址位段基址000000001616位偏移地址位偏移地址0 015150 015152020位物理地址位物理地址0 01919+物理地址计算方法:物理地址计算方法:即把段基址左移即把段基址左移4位再加上偏移地址值形成物理地址,写成:位再加上偏移地址值形成物理地址,写成:物理地址物理地址=16 段基址段基址+偏移地址。偏移地址。*每个存储单元只有唯一的物理地址。每个存储单元只有唯一的物理地址。但可由不同的段基址和不同的偏移地址组成。但可由不同的段基址和不同的偏移地址组成。49段基址段基址0 0 0 00 0 0 03 2 1 03 2 1 015 015 0偏移地址偏移地址基址加法器基址加法器物理地址物理地址0 015150 01919 物理地址的形成物理地址的形成50结束语结束语我们还在路上,余晖消失之前都不算终点。Thank you for coming,send this sentence to you,we are still on the road,before the afterglow disappears are not the end.为方便温习本节课程内容,本课件可在下载完成后进行查阅Thankyouforlistening.Fortheconvenienceofreviewingthecontentofthiscourse,thiscoursewarecanbeviewedafterdownloading
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!