电子线路实验课数字部分

上传人:xt****7 文档编号:187047773 上传时间:2023-02-10 格式:PPT 页数:134 大小:2.88MB
返回 下载 相关 举报
电子线路实验课数字部分_第1页
第1页 / 共134页
电子线路实验课数字部分_第2页
第2页 / 共134页
电子线路实验课数字部分_第3页
第3页 / 共134页
点击查看更多>>
资源描述
从这里放飞希望电子线路实验课电子线路实验课西安电子科技大学西安电子科技大学 电子工程学院电子工程学院 实验中心实验中心实验项目:1.组合逻辑研究(一)组合逻辑研究(一)2.组合逻辑研究(二)组合逻辑研究(二)3.集成触发器集成触发器4.计数器及其应用研究计数器及其应用研究5.移位寄存器及其应用移位寄存器及其应用6.脉冲电路的产生与整形脉冲电路的产生与整形7.序列码发生器及序列码检测器的设计序列码发生器及序列码检测器的设计 8.8.发光二极管点阵显示器的应用发光二极管点阵显示器的应用 9.9.十字路口交通灯自动控制器的设计十字路口交通灯自动控制器的设计 10.10.时钟控制器的设计时钟控制器的设计11.11.8 8路彩灯移存型控制器的设计路彩灯移存型控制器的设计 12.12.D/A及及A/D转换器实验转换器实验实验仪器的介绍(2)稳压电源介绍(3)示波器面板介绍(4)信号源介绍毫伏表模拟实验板模拟实验板(5)数字通用板的介绍1.组合逻辑研究(一)组合逻辑研究(一)1了解用SSI器件实现简单组合逻辑电路的方法。2了解编码、译码与显示的工作原理。3掌握用MSI器件实现四位全加器的方法,并掌握全加器的应用。4熟悉四位数字比较器的原理,掌握四位数字比较器的应用。二 实验所用仪器、设备 1.万用表 一块 2.直流稳压电源 一台 3.数字电路实验板 一块一 实验目的三三 实验说明实验说明 组合逻辑电路是数字电路中最常见的逻辑电路之一,它是根据给定的逻辑功能,设计出实现这些功能的逻辑电路。组合逻辑电路的特点,就是在任一时刻电路的输出仅取决于该时刻的输入信号,而与信号作用前电路所处的状态无关。组合逻辑电路的设计一般可按以下步骤进行:(1)逻辑抽象。将文字描述的逻辑命题转换成真值表。(2)选择器件类型。根据命题的要求和器件的功能决定 采用哪种器件。(3)根据真值表和选用逻辑器件的类型,写出相应的逻辑函数表达式。当采用SSI集成门电路设计时,为了使电路最简,应将逻辑表达式化简,并变换成与门电路相对应的最简式;当采用MSI组合逻辑器件设计时,则不用将逻辑函数进行化简,只需将其变换成MSI器件所需要的函数形式。(4)根据逻辑函数表达式及选用的逻辑器件画出逻辑电路图。四 实验内容2.用四2输入异或门74LS86和四2输入与非门74LS00组成一位全加器电路,输入加逻辑开关,输出加LED显示器,测试其功能,并记录真值表。(一)基本命题0C1.按照P104图4-1-3连接实验线路,输入加逻辑开关,输出加LED显示器,测试三变量多数表决器的功能,并记录真值表。3用8-3线优先编码器74LS148、7段字型译码器74LS48和数码管组成编码、译码、显示电路,将编码器8个数据输入端接至实验板上的逻辑开关,记录实验结果。4.用MSI器件74LS283实现四位全加器电路,用 译码、显示电路显示其全加和,并将结果填入表5.4中。表5.41234AAAA1234BBBB0C4C数码显示结果转换为十进制数0 0 1 00 1 0 10 0 0 1 00 1 1 01 1 0 1 01 1 0 10 (二)扩展命题 用异或门74LS86和四位全加器74LS283实现四位减法器,用译码、显示电路显示其差,并将结果填入表5.5中。表5.51234AAAA1234BBBB数码显示0 1 0 00 0 1 0 1 0 0 10 0 1 0 1 0 0 00 0 0 1 2.用四位全加器74LS283实现由8421码到余3码的转换,列表验证其 真值表。五 参考电路(一)基本命题1.图4-1-3 用门电路实现的多数表决电路 图5-1 一位全加器电路2.图5-1所示电路是由四2输入与非门74LS00和四2输入异或门74LS86组成的一位全加器电路。此电路可以实现两个一位二进制数(和 )相加,并考虑来自低一位的进位(),输出 为本位和,为本位向高一位的进位。用逻辑表达式可表示为:iBiCiS1iCiAiiiiCBASiiiiiiBACBAC)(12.图5-2所示电路是用8-3线优先编码器74LS148、7段字型译码器74LS48 和数码管组成的编码、译码、显示电路,依次给8个输入端送01信 号,在数码管上观察结果,并列出真值表。图52 编码、译码与显示电路74LS283和译码、显示电路如图5-3所示。B4B3B2B1A4A3A2A17 4 L S 2 8 3F4F3F2F1C0C4L TR B OB I/R B I7 4 L S 2 4 8aabbcdcdeeffgg1图5-3 2.组合逻辑研究(二)组合逻辑研究(二)1.1.万用表万用表 一块一块2.2.直流稳压电源直流稳压电源 一台一台 3.3.数字电路实验板数字电路实验板 一块一块 1了解译码器、数据选择器的工作原理及其功能。了解译码器、数据选择器的工作原理及其功能。2掌握用译码器、数据选择器实现组合逻辑电路的方法。掌握用译码器、数据选择器实现组合逻辑电路的方法。一一 实验目的实验目的二二 实验仪器实验仪器三 实验说明本实验主要用了两种MSI器件:译码器和数据选择器,分别予以介绍。1.译码器 我们这里介绍的是通用译码器。译码器是一个多路输入、多路输出的组合逻辑电路,其功能是将输入的一组二进制代码译成与其相应的特定含义(如十进制、地址线、指令等)。常见的MSI译码器有2-4译码器(74LS139)、3-8译码(74LS138)、4-16译码器(74LS154)等。下面主要介绍3-8译码器74LS138。74LS138218765439161514131211100A2AAG21GGND6Y5Y4Y3Y2Y1YCCV1A7Y0YBG2图5-5 74LS138管脚图 2.数据选择器数据选择器又称多路开关(MUX),是一个多路输入,单端输出(有的具有互补输出端)的组合逻辑器件。其工作原理类似于一个单刀多掷开关,在地址码(或称选择输入端)的控制下将某一路的输入作为输出,以实现多通道数据传输。数据选择器有74LS157(四2选1MUX),74LS153(双4选1MUX),74LS151(8选1MUX),74LS150(16选1MUX)等。这里主要介绍8选1数据选择器74LS151。74LS151218765439161514131211100A2A3D2D1DGNDEWY7D6D5DCCV1A0D4D图5-6 74LS151外引线排列图四 实验内容(一)基本命题 1 1用用3-83-8译码器译码器74LS13874LS138和门电路实现三变量多数和门电路实现三变量多数表决器电路,参考表决器电路,参考P113P113图图4-2-34-2-3。2 2用用3-83-8译码器实现函数:译码器实现函数:3 3用用8 8选选1 1数据选择器数据选择器74LS15174LS151实现函数实现函数 )7,6,5,4,2,1()6,4,1(21mFmF(,)(0,4,5,8,12,13,14)F A B C Dm(二)扩展命题3 3、用、用3-83-8译码器译码器74LS13874LS138和门电路设计一个数字显示和门电路设计一个数字显示报警电路,要求:用译码、显示电路来显示,装置报警电路,要求:用译码、显示电路来显示,装置共有三个报警信号,当第一路有报警信号时,数码共有三个报警信号,当第一路有报警信号时,数码管显示管显示1 1;当第二路有报警信号时,数码管显示;当第二路有报警信号时,数码管显示2 2;当第三路有报警信号时,数码管显示当第三路有报警信号时,数码管显示3 3;当有两路或;当有两路或两路以上有报警信号时,数码管均显示两路以上有报警信号时,数码管均显示8 8;当无报警;当无报警信号时,数码管显示信号时,数码管显示0 0。五、参考电路 (一)基本命题1.图图4-2-3 4-2-3 用74LS138实现的的多数表决器 .3.在ABCD中任选三个变量作为数选器74LS151的地址,另一个变量就反映到了数据输入端。比如选ABC作为地址变量,则数据输入端表达式为:DDDDDDDDDDD76543210100102.函数表达式分别为:.3026411YYFYYYF4.全减器真值表如下:0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1nCnD 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1AB1nC3.3.集成触发器集成触发器1.熟悉常用触发器的基本结构及其逻辑功能。2.能用触发器设计基本的时序逻辑电路。1 万用表 一块 2.直流稳压电源 一台 3.函数信号发生器 一台 4.双踪示波器 一台 5.数字电路实验板 一块一 实验目的二 实验所用仪器、设备三 实验说明 触发器是组成时序逻辑电路的最基本逻辑单元,在数字系统和计算机中有着广泛的应用,集成触发器不仅作为独立的集成元件被大量使用,而且还是组成计数器、移位寄存器或其它时序电路的基本单元电路。触发器按结构分主要有钟控式、维持阻塞式、主从式和边沿触发式四种,按功能可分为RS触发器、D触发器、JK触发器、T和触发器等,按触发方式分有边沿触发和电平触发两种。1.D触发器 D触发器的逻辑符号如图5-9所示,触发器的次态决定于CP脉冲上升沿到来之前D的状态,即 DQn1 DC PDRDSQQ 图5-9 D触发器 2JK触发器 JK触发器的逻辑符号如图5-10所示。它的基本结构形式有主从式和边沿触发两种,且多为边沿触发,一般情况下是在CP脉冲的下降沿触发翻转的。触发器次态取决于下列方程:nnnQKQJQ1 C PDRDSQQJK图5-10 JK触发器四 实验内容(一)基本命题1.用双D触发器74LS74构成一个异步的四进制减法计数器,并进行逻辑功能的验证(1)用单脉冲输入,触发器状态用指示灯显示。(2)用1KHZ连续脉冲输入,用示波器比较其输 入、输出信号波形。条件:给定器件为双D触发器(74LS74)1只,双JK触发器(74LS76)2只,四2输入异或门(74LS86)1只,六反相器(74LS04)1只。2.用双JK触发器74LS76构成一个同步四进制加法计数器,并进行逻辑功能的验证。(1)用单脉冲输入,触发器状态用指示灯显 示。(2)用1KHZ连续脉冲输入,用示波器比较其 输入、输出信号波形。3用双JK触发器74LS76,设计一个单次脉冲发生器。要求将频率高的系列脉冲和手控触发脉冲分别作为两个触发器的时钟脉冲输入。只要手控脉冲送出一个脉冲(高电平一次或低电平一次),单次脉冲发生器就送出一个脉冲,该脉冲与手控触发脉冲的时间长短无关。4用双JK触发器74LS76和门电路设计三相脉冲信号源电路要求电路输出三相脉冲源 ,其中 超前 ,超前 ,与 反相。120902309013123、(二)扩展命题 条件:给定器件为双D触发器(74LS74)1只,双JK触发器(74LS76)2只,四2输入与非门(74LS00)1只,三3输入与非门(74LS10)1只,四2输入与门(74LS08)1只,六反相器(74LS04)1只,七段字型译码器(74LS48)1只,共阴极数码管(LTS-547RF)1只。1用双D触发器74LS74和与非门74LS00设计一个广告流水灯同步时序电路,广告流水灯有四个灯,这四个灯始终是一暗三明且暗灯循环右移,其状态图如图5-11所示,图中表示灯亮,表示灯暗。1CP 2CP 3CP 4CP 图5-11 广告流水灯状态图 2用两片JK触发器和门电路设计一个8421码的同步十进制加法计数器,并进行以下实验:(1)将计数器的四个输出端加至由74LS48与数码管组成的译码、显示电路的输入端,CP用实验板上的1HZ脉冲信号,观察显示结果。(2)加入1KHZ方波信号作为时钟信号,观察并记录输入、输出号的波形。五 参考电路VC CDRDDSC PQQ1.图为用双D触发器74LS74实现二分频功能图5-122.图为用双J-K触发器74LS76实现四分频功能JKJKQQQQC PV C CDSDS图5-134.=1000JKJKQQQQCPDRDR32图5-154.4.计数器及其应用研究计数器及其应用研究一 实验目的 1熟悉计数器的工作原理,掌握中规模计数器 (MSI)逻辑功能及其应用。2掌握计数器的级联方法,并会用中规模计数 器(MSI)实现任意进制计数器。二 实验仪器 1 万用表 一块 2.直流稳压电源 一台 3.函数信号发生器 一台 4.双踪示波器 一台 5.逻辑分析仪 一台 6.数字电路实验板 一块 三 实验说明 计数器是一种使用相当广泛的功能器件,现在无论是TTL还是CMOS集成电路,都有品种齐全的MSI计数器。在这一节实验中,我们所用计数器均为TTL器件,因此,以下介绍实验中所用的几种计数器。74LS90是一个二-五-十进制计数器的异步计数器,具有计数、清“0”及置“9”功能,内部结构是由四只JK触发器构成,下降沿触发且为双时钟结构,两个时钟分别是 和 。1cp2cp174LS90异步二-五-十进制计数器 用74LS90构成的十进制计数器有两种接法。一种是8421BCD码接法:将 作为计数时钟,和其中一个输出端 连接在一起,则输出 是8421BCD码计数器。另一种是5421BCD码计数器,将 作为计数时钟,和其中一个输出端 连接在一起,则输出 是5421BCD码计数器。1cpAQABCDQQQQ2cp1cpDQBCDAQQQQ 用74LS90可以获得模M=2、5、10的计数器。若利用清“0”、置“9”功能,引入适当反馈就可构成10以内的任意进制的计数器。2cp图4-1 74LS90外引线排列图2.74LS161、74LS163可编程4位二进制同步计数器 同步计数器是指计数器内所有触发器都在同一时钟脉冲作用下、在同一时刻翻转。其优点是计数速度快。74LS161和74LS163除了具有普通4位二进制同步计数器的功能外,还具有可编程计数器的编程功能。可编程计数器的编程方法有两种,一种是由计数器的不同输出组合来控制计数器的模;另一种是通过改变计数器的预置输入数据来改变计数器的模。这两种编程方法也同样适用于其它可编程计数器。74LS161具有异步清零、同步置数的功能。其中,是异步清零输入端,低电平有效;LD是同步并行置数控制端,低电平有效;P和T具有保持和禁止计数的功能,只要P和T两端中有一端为零,计数器即为保持状态,要正常计数,它们必须都为高电平。是进位输出端,其平时为低电平,当74LS161计数计到最大值时,翻转为高电平,宽度为一个时钟周期。DA是并行数据输入端,是数据输出端。rCCOADQQ 74LS163除具有同步清零的功能外,其它功能均同74LS161。用74LS161构成的计数器的计数方法有两种,一种是从零开始计数,另一种是从某一数码(非零)开始计数。图4-2 74LS161外引线排列图74Ls16121876543916151413121110rCCPABCDPGNDLDTDQCQBQAQCCVCO3.74LS192、74LS193双时钟4位加/减同步计数器 74LS192和74LS193是双时钟4位加/减同步计数器,其管脚排列图及个管脚的功能均相同,不一样的是,74LS192是十进制计数器,74LS193是二进制计数器。当 加时钟,为高电平时,进行加法计数;当 加时钟,为高电平时,进行减法计数,时钟为上升沿触发。管脚排列图中,为加计数进位输出端,当74LS192和74LS193加计数计到最大值时,输出一个低电平信号(平时为高电平);为减计数借位输出端,当这两个计数器减计数计到最小值即零时,输出一个低电平信号(平时为高电平)。和 的负脉冲宽度等于时钟方波脉冲低电平宽度。UCPDCPDCPUCPCOCOBOBOCOBO四 实验内容(一)基本命题 条件:给定器件为二-五-十进制异步计数器(74LS90)1只,二进制同步计数器(74LS161)1只,四2输入与非门(74LS00)1只,三3输入与非门(74LS10)1只,双时钟BCD同步加/减计数器(74LS192)1只,七段字型译码器(74LS48)1只,共阴极数码管(LTS-547RF)1只。1用异步二-五-十进制计数器74LS90构成8421BCD码计数器。2用二进制计数器74LS161和与非门设计M=7加法计数器(用两种方法实现),实验测试过程同1。3用74LS192实现十进制可逆计数器,先用静态测试法验证计数器的逻辑功能,然后用示波器双踪观察并记录输入、输出波形。1)用1Hz脉冲输入,计数器输出送入译码、显示电路,记录计数状态的变化。2)用1KHz脉冲输入,用示波器双踪观察并记录其输入、输出信号波形。4用两片74LS161和门电路设计模50计数器。要求完成电路设计,先将计数器时钟置为1HZ方波信号,输出接译码、显示电路,在数码管上观察输出状态变化;然后将时钟频率改为1KHZ方波信号,用逻辑分析仪观察并记录输入、输出波形。5.用74LS161设计一个计数型序列产生器,产生的序列码为 1101000101。(二)扩展命题 条件:给定器件为二进制计数器(74LS161)2只,8选1数据选择器(74LS151)2只,四2输入与非门(74LS00)1只,三3输入与非门(74LS10)1只,双四输入与非门(74LS20)1只,六反相器(74LS04)1只。1将6MHZ信号分别2分频、10分频、20分频、46分频、60分频,并用数据选择器选出其中一个。2用两片74LS161级联组成两位十进制计数器,要求用串行进位式和并行进位式两种方法,输出用译码、显示电路显示。五 参考电路1.74LS90CP1CP2CPAQBQCQDQ91S92S01R02R图5-172.(1)&0000CPCPrCPTAQBQCQDQABCD LDCO174161图5-18CPrCPTAQBQCQDQABCDLDCO74161CP110010(2)图5-194.M=50计数器AQBQCQDQAQBQCQDQCOCOABDCrCLDrCABCDLD001111111100CPC PCPPPTT7416174161图5-215.序列码发生器CPCPrCPTAQBQCQDQABCDLDCO10D1D2D3D4D5D6D7D2A1A0AYZ0100000010741618选1MUX1&5.5.移位寄存器及其应用移位寄存器及其应用一 实验目的 1.熟悉移位寄存器的结构及工作原理。2.掌握移位寄存器的应用。二 实验所用仪器、设备 1.万用表 一块 2.直流稳压电源 一台 3.函数信号发生器 一台 4.双踪示波器 一台 5.逻辑分析仪 一台 6.数字电路实验板 一块 三 实验说明 移位寄存器是由多级触发器构成的。代码的移位是在统一的时钟脉冲控制下进行的。每来一个时钟脉冲,原存于寄存器的代码就按规定的方向(左或右)同步移一位。移位寄存器的类型,按移位的方式可分为左移、右移和双向移位寄存器;按其输入方式可分为并行输入.并行输出、并行输入.串行输出、串行输入.并行输出、串行输入.串行输出等几种。本实验所用移位寄存器是74LS194,下面予以介绍。74LS194是4位双向移位寄存器,它具有并行输入、并行输出、左移和右移的功能。74LS194的操作主要由两个工作方式控制端 来决定。当 ,为保持状态;当 ,进行右移操作;当 ,进行左移操作;当 ,进行送数操作。在后三种操作中,都是同步的,即必须有时钟信号,在时钟信号的上升沿到来时,进行左移、右移和送数操作。00SS0110SS、10SS0111SS0101SS0174Ls19421876543916151413121110rCRS0D1D2D3DLSGND0S1SCP3Q2Q1QCCV0Q图4-1 74LS194的外引线排列图四 实验内容(一)基本命题 条件:给定器件为双向移位寄存器(74LS194)1只,3-8译码器(74LS138)1只,二进制同步计数器(74LS161)1只,三3输入或非门(74LS27)1只,四2输入与门(74LS08)1只,六反相器(74LS04)1只。1用双向移位寄存器74LS194与门电路构成具有自启动特性的环形计数器。其有效循环状态如图4-1所示,用示波器观察并记录输入、输出波形。(参考图4-5-2)2.用双向移位寄存器74LS194与门电路构成具有自启动特性的扭环计数器。其有效循环状态如图4-2所示,用示波器观察并记录输入、输出波形。(参考图4-5-4)100001000010000100000111111111101100100000010011图4-1 环形计数器有效循环状态图 图4-2 扭环计数器 有效循环状态3 用双向移位寄存器74LS194和门电路构成M=6的移位 型计数器(具有自启动特性)。4 用74LS194和74LS138设计一个能同时产生两组序列 码的双序列码发生器,要求两组代码分别是:010110,11010121zz。5 设计一个简单的四路彩灯显示系统,要求两种花型 以同一频率循环演示,演示花型为:1)依次渐亮,第1路彩灯先亮,接着第2、第3、第4路彩灯逐渐点亮 2)依次渐灭,第4路彩灯先暗,接着第3、第2、第1路彩灯逐渐变暗。41LL14LL(二)扩展命题 条件:给定器件为3-8译码器(74LS138)1只,双向移位寄存器(74LS194)2只,四2输入与非门(74LS00)1只,三3输入与非门(74LS10)1只,双四输入与非门(74LS20)1只,六反相器(74LS04)1只。1 用双向移位寄存器74LS194和门电路设计7分频电路(具有自启动特性)。2用两片74LS194和一片74LS138设计可编程分频器,分频比N的范围为 。71 N3 用74LS194和门电路、MSI组合逻辑器件设计一个八路彩灯移存型控制器,要求:1)彩灯演示花型为三种(花型自拟)。2)彩灯用发光二极管模拟。即能控制8路LED以三种花型连续循环演示。选择的花型可以自拟,这里提供三种花型供参考。选定的三种花型为:a从左至右顺次渐亮,全亮后逆序渐灭;b中间到两边对称地逐渐渐亮,全亮后,再由中间向 两边逐一熄灭;c八路灯分两半,从左至右顺次渐亮,全亮后全灭。五 参考电路1.图4-5-22.图4-5-43.0Q1Q2Q3QrCCPCPRS1D2D0D3D1S2S174LS194LS0&000图5-266.脉冲电路的产生与整形脉冲电路的产生与整形 一 实验目的 1熟悉用门电路构成的单稳态电路与多谐振荡器电路的特点和振荡 频率的估算方法。2熟悉555振荡器的工作原理,掌握555定时器的典型应用。3学会用示波器观察和测量脉冲波形及其参数的方法。二 实验所用仪器、设备 1.万用表 一块2.直流稳压电源 一台3.函数信号发生器 一台 4.双踪示波器 一台5.数字电路实验板 一块三 实验说明 1.单稳态电路 利用集成逻辑门的开关作用和倒相作用,加上适当的RC元件,可以构成各种简单可靠的脉冲产生和整形电路,例如单稳态电路、多谐振荡器电路等。本小节讨论单稳态电路。图5-33为微分型单稳电路,图中 为输入微分电路,两个门之间用RC微分电路相连。图5-34为积分型单稳电路,此电路中,触发脉冲直接加在非门的输入端上。PpRC、&1iupCpR100pFCF01.0R5100uK47图5-33 微分单稳态触发电路&1iuR5101F01.02C图5-34 积分单稳态触发电路 单稳态触发电路的共同特点是:触发脉冲未加入前,电路处于稳态,此时可以测得各门的输入和输出电位。触发脉冲加入后(图5.23所示为负脉冲触发,图5-34所示为正脉冲触发),电路立刻进入暂稳态。暂稳态的时间,即输出脉冲的宽度 只取决于RC数值的大小,与触发脉冲无关。我们已经知道,在只含有一个储能元件的电路中,某一点电压的过渡过程可用下式表示为:WT/)()0()(txxxxeuuuu整理后得:)()()0()(lnWxxxxWTuuuuRCT在微分型电路中,由式可推导得到(过程略):RCTW9.02.多谐振荡器 多谐振荡器是一种脉冲波形产生电路,它是数字电路中不可缺少的电路单元。由与非门组成的多谐振荡器电路形式多种多样,有环形、对称型和非对称型等。图5-35(左)是一种对称型的单定时多谐振荡器,它由两个与非门交叉耦合而成,定时元件为R、C。R的取值必须使门电路工作在线性过度区,一般为几百 ,电容C的取值在 内任选。图中门2反馈电阻 可使电路易于起振,对振荡频率无影响。图5-35(右)是电路的振荡波形。k1F100pF10R&RRCAUBU0UKUKOHUUKOHUUBUAU0U000ttt图5-35 TTL多谐振荡器 左:电路 右:波形 根据 波形可以计算出多谐振荡器在两个暂稳态停留的时间,进而可求出振荡器的振荡周期为:AVRCT2.23.集成定时器 555定时器是一种双极型模拟数字兼容的集成器件,只需在其外部配上少量的阻容元件,就可以构成单稳、多谐振荡器、施密特触发器等脉冲电路。由于它使用灵活、方便,电源范围大,因而广泛地应用在波形产生、变换、测量与控制等方面。图5-36为它的内部结构图。其中,三极管T起开关控制作用 为同相比较器,为反相比较器,和 比较器的基准电压由电源电压 及内部电阻的分压比决定。RS触发器具有复位控制功能,可以控制T的导通与截止。1A2A1A2ACCV(1)555定时器的基本结构图5-36 555定时器的内部结构图(2)555定时器的典型应用 555定时器可构成多谐振荡器、单稳触发器、施密特电路等。图5-37是555定时器构成的多谐振荡器电路。图中,、C为定时元件,是输出信号。电路的振荡周期为:CRRTTT)2(693.02121占空比为:%502212212RRRTTTD以上两式中,为正方波宽度,为负方波宽度。21R、R1T2T图5-37 555构成的多谐振荡器电路 图5-38 555构成的单稳触发器电路 图5-38是555定时器构成的单稳触发器电路。图中,R、C为外接定时元件,触发信号 加在低触发端(引脚2)。单稳触发器的主要用途是对脉冲波形进行整形、延时、定时或分频。单稳触发器输出的脉冲宽度 ,由下式计算:iUWTRCRCTW1.13ln 施密特触发器主要用于波形变换与脉冲整形,可以将边沿变化缓慢的周期性信号变换成矩形脉冲,或者将不规则的电压波形整形为矩形波。图5-39为施密特触发器电路图。图5-39 555构成施密特触发器 四 实验内容(一)基本命题 给定器件为四2输入与非门(74LS00)1只,555定时器1只,电阻、电容若干。1.用74LS00和电阻、电容构成微分型单稳态触发器,记录入输出波形,并测出电路的各项技术指标:脉冲宽度,输出脉冲的高、低电平值。2用74LS00和电阻、电容构成积分型单稳态触发器,记录输入、输出波形,并测出电路的各项技术指标:脉冲宽度 ,输出脉冲的高、低电平值。WT 3用74LS00和电阻、电容构成多谐振荡器电路,要求振荡周期 ,记录输入、输出波形,并测出电路的各项技术指标:振荡周期T,输出脉冲的高、低电平值。s220T 4用555定时器和电阻、电容构成多谐振荡器电路,要求振荡频率 ,记录 波形,并测出电路的各项技术指标:振荡周期T,占空比D,输出脉冲的高、低电平值。1KHZf 07UUU、C(二)扩展命题给定器件为555定时器2只,电阻、电容若干,扬声器1只。1用555定时器和电阻、电容构成单稳态触发器,记录输入、输出波形,并测出电路的各项技术指标:脉冲宽度 ,输出脉冲的高、低电平值。WT 2用555定时器和电阻、电容构成施密特触发器,给电路输入端加入三角波,记录输入、输出波形。3用两只555定时器和电阻、电容设计一个模拟声响电路,要求扬声器发出1KHZ的间歇声响。7.序列码发生器及序列码检测器序列码发生器及序列码检测器的设计的设计 一 实验目的 掌握用中规模器件设计序列码发生器的方法。2.掌握用中规模器件设计序列码检测器的方法。二 实验所用仪器、设备 1.万用表 一块 2.直流稳压电源 一台 3.函数信号发生器 一台 4.双踪示波器 一台 5.逻辑分析仪 一台 6.数字电路实验板 一块 三 实验说明 序列码发生器和序列码检测器是经常要用到的一类电路,特别是在通信方面用的较多一些。(一)序列码发生器 序列码发生器是能够循环产生一组或多组序列信号的时序电路,它可以用移位寄存器或计数器构成。1反馈移位型序列码发生器 反馈移位型序列码发生器方框图如图5-27所示,它由移位寄存器和组合反馈网络组成,从移位寄存器的某一输出端可以得到周期性的序列码。其设计步骤如下:(1)根据给定的序列信号的循环长度M,确定移位寄存器位数n,n1n2M2(2)确定移位寄存器的M个独立状态。将给定的序列码按照移 位规律每n位一组,划分为M个状态。若M个状态中出现重复现象,则应增加移位寄存器位数。用n+1位再重复上述过程,直到划分为M个独立状态为止。(3)根据M个不同状态列出移位寄存器的态序表和反馈函数表,求出反馈函数F的表达式。(4)检查自启动性能。(5)画逻辑图。组合反馈网络1Q2QnQ)(LRSSn位移位寄存器 ZCP图5-27 反馈移位型序列码发生器框图 2计数型序列码发生器 计数型序列码发生器结构框图如图5-28所示。它由计数器和组合输出网络两部分组成,序列码从组合输出网络输出。设计过程如下:(1)根据序列码的长度M设计模M计数器,状态自定。(2)按计数器的状态转移关系和序列码的要求设计组合输出网络。组合输出网络1Q2QnQZCP模M计数器图5-28 计数型序列码发生器框图(二)序列码检测器 序列码检测器是识别串行码组的检测装置,也可看作串行码组的译码器,关于序列码检测器的分析与设计,完全可以按照一般时序网络的分析与设计方法进行。在以MSI为核心的序列码检测器设计中,我们可以采用移位寄存器,将串行到达的码元变成并行方式同时进行检测,这样可以提高检测的速度。四 实验内容(一)基本命题 条件:给定器件为二进制同步计数器(74LS161)1只,双向移位寄存器(74LS194)1只,双D触发器(74LS74)1只,8选1数据选择器(74LS151)1只,六反相器(74LS04)1只。1用74LS161设计一个计数型序列产生器,产生的序列码为 1101000101。2同样的序列码,设计成移位型序列产生器,用74LS194来实 现它,画出实验电路图,并验证之。3设计一个序列码检测器,输入的序列码即为1、2中产生的 序列码1101000101。4设计一个产生0001110110序列码的计数型序列码发生器。(二)扩展命题 条件:给定器件为二进制同步计数器(74LS161)1只,双向移位寄存器(74LS194)2只,3-8译码器(74LS138)1只,双D触发器(74LS74)1只,六反相器(74LS04)1只,四2输入与门(74LS08)1只,双4输入与非门(74LS20)1只,扬声器1个。1将以上(1、2、3)序列码发生器与序列码检测器联调,当检测 到所产生的序列码时扬声器发出声响。2.设计一个能同时产生两组序列码的双序列码发生器,要求两组 代码分别是:。010110,11010121zz五 参考电路1.CPCPrCPTAQBQCQDQABCDLDCO10D1D2D3D4D5D6D7D2A1A0AYZ0100000010741618选1MUX1&图5-292.该电路真值表如下:Q0 Q1 Q2 Q3 F(SL)0 0 0 1 1 0 0 1 0 1 0 1 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1求得F(SL)=1Q0Q1Q2Q3Q1S2SLSCPCP1074LS194电路图如下:图5-304.M=10,所以用74161设计一个模10计数器,采用OC值数来 实现,有效状态是0110到1111该电路K图如下:00 01 11 10 00 01 0 0 11 0 1 0 1 10 0 1 1 1ABQQCDQQ用8选1MUX实现逻辑函数,电路如下图:CPCPrCPTAQBQCQDQABCDLDCO10D1D2D3D4D5D6D7D2A1A0AYZ000000111741618选1MUX01图5-328.发光二极管点阵显示器的应用发光二极管点阵显示器的应用 一 实验目的 1.了解发光二极管点阵显示器的工作原理及电路组成。2.根据其原理设计不同花型或汉字显示电路。二 设计任务 1.利用组合电路设计一个点阵显示图形不变的花型或汉字。2.利用时序电路设计一个点阵显示图形变化的花型或汉字。三 参考器件 给定器件为四2输入与非门(74LS00)1只,三3输入与非门(74LS10)1只,六反相器(74LS04)1只,双4输入与非门(74LS20)1只,二进制同步计数器(74LS161)2只,3-8译码器(74LS138)2只,发光二极管点阵显示器(TOM-1988BH)1只。四 实验要求 按照设计任务设计电路,然后在仿真软件上进行虚拟实验,正确后,在实验板上搭建实验电路,观察显示的花型或汉字是否正确,如果不正确,排除故障直至正确为止。最后一步是撰写实验报告、整理文档,对实验进行总结。五 设计说明 首先介绍实验器材发光二极管点阵显示器TOM-1988BH。TOM-1988BH点阵显示器,是一个88红绿双色共阳发光二极管点阵显示器。其内部元素如图5.32所示,图中白色二极管代表绿色,黑色二极管代表红色。它共有64个点阵灯,分别由24个管脚来控制,其中23、1、20、4、17、7、14、10为行控制端,剩下的16个管脚为列控制端。共阳是指每一行共用一个正电压来控制,要使某一个二极管点亮,必须给控制此二极管的列控制端施加低电平。如果要动态显示,必须通过行扫描逐步实现。循环一周为一帧,为了得到无闪烁的图象,通常扫描速度每秒约大于100帧,因此点阵的时钟约为 (n为扫描行数)。为了得到一般的图象,要对行电极或列电极进行编码,并且两者应同步输出。HZ100n 因此,TOM-1988BH点阵显示器,既可以作为组合逻辑电路的显示,又可以作为时序逻辑电路的显示。设计时,先选定花型或者汉字,然后根据花型或者汉字设计时序电路和组合电路。设计的过程即是对点阵二极管的行电极或列电极进行编码。23231 120204 417177 7141410102222 2424 12122 21919 21213 35 51616 18186 68 81313 15159 9 1111图5-40 TOM-1988BH点阵显示器内部结构 9.十字路口交通灯自动控制器的设计十字路口交通灯自动控制器的设计 一 实验目的1.熟悉用同步时序电路设计交通灯自动控制器的方法。2.掌握用中规模器件设计简单时序逻辑电路的方法。二 设计任务 设计一个安装在十字路口的红、黄、绿交通灯自动切换装置,使它能按一定时序自动切换,以实现无人值班时,车辆能顺利通行。1交通灯管理示意图如图5-41所示,交通灯切换顺序图如图5-42所示。3设置两个时钟源。为4HZ(0.25s),由函数发生器提供作为黄灯闪烁信号,为0.4HZ(2.5s),作为系统时钟,由 分频得到。1212干线A干线B红 黄 绿红黄绿A绿灯B红灯B红灯A红灯B绿灯A红灯A黄灯(闪)B黄灯(闪)12.5s2.5s12.5s2.5s图5-41 交通灯管理示意图 图5-42 交通灯切换顺序图 2 遇有紧急情况,按动按钮开关S(低电平有效),使两条干线均停止通行,并且一直维持红灯状态,事故处理完毕后,再按动按钮开关S(高电平有效),解除禁止通行状态,恢复原来的正常时序运行。三 参考器件 给定器件为四2输入与非门(74LS00)1只,四2输入或非门(74LS02)1只,六反相器(74LS04)1只,三3输入与非门(74LS10)1只,二进制同步计数器(74LS161)1只,四位双向移位寄存器(74LS194)2只,二-五-十进制异步计数器(74LS90)1只。四 实验要求 按照设计任务设计实验电路,然后在仿真软件上进行仿真实验,正确后在实验板上搭建实验电路,交通灯用发光二极管模拟,观察交通灯的运行是否正常,然后在示波器上观察并记录输入、输出波形,最后一步是撰写实验报告、整理文档,对实验进行总结。五 设计说明 根据图5-42交通灯切换顺序图,可以画出交通灯运行的时序图,如图5-43所示。可以看出,每来12个时钟脉冲,各信号灯的状态循环一次,因此需设计模12的计数器,计数器的输出经译码后,就可以得到各信号灯的控制逻辑。2A绿灯A黄灯A红灯B绿灯B黄灯B红灯图5-43 交通灯运行的时序图10.时钟控制器的设计时钟控制器的设计 一 实验目的 熟悉并掌握用同步时序电路设计时钟控制器的方法。二 设计任务 设计一个能放过一串数目可预定的完整无缺的时钟控制器,放过的脉冲数目 可调。151N三 参考器件 给定器件为四2输入与非门(74LS00)1只,双D触发器(74LS74)1只,二进制同步计数器(74LS161)1只,二进制可逆计数器(74LS193)1只,七段字型译码器(74LS48)1只,共阴极数码管(LTS-547RF)1只。四 实验要求 设计电路,然后在仿真软件上进行虚拟实验,正确后,在实验板上搭建实验电路,先在数码管上观察显示数字是否正确,然后搭建动态观察时的电路,在示波器上观察并记录输入、输出波形,最后一步是撰写实验报告、整理文档,对实验进行总结。五 设计说明实验原理图如图5-44所示。图中时钟 为1KHZ/1HZ脉冲源,为0-1开关,为单次脉冲,低电平有效。兼有清零、预置及启动功能。时钟控制器输出Z平时处于低电平状态。为了检测该电路的功能,即放过的脉冲数是否为预定值,一种方法是:将输出Z接至LED显示器,观察LED闪烁的次数;另一种方法是:将Z接至16进制计数器的时钟端,计数器的输出接译码、显示电路,以观察显示器的读数是否正确。如果控制器中的计数器选用加法计数,可将预置数的反码打入预置端,如果选用减法计数,可将预置数的原码打入预置端。41kkpkpk控制器计数 译码 显示接LED接示波器74LS161 74LS4874LS00、74LS74、74LS193LTS-547RF1k2k3k4kpk1234512345pkQZ显示Z图5-44 时钟控制器原理框图 从图5-44中可以看到,设计时钟控制器,关键是要设计一个启停电路,即产生一个门控信号,如图中虚线所示的Q波形。当启动 时,其负脉冲将系统复位,并对计数器进行预置,负脉冲结束后的下一个时钟的上升沿到来时,启停电路开启,Q由 ,与此同时,计数器开始计数,当计数值与预置值相等时,启停电路关闭,Q由 ,这时,计数器停止计数,根据Q与 的时间关系,通过简单的控制逻辑,即可实现预期的时钟控制的目的。pk10 01 如果要用示波器动态观察Q和Z的波形,还需要对原电路进行改动,所以,设计的电路包括两部分,静态观察时的电路和动态观察时的电路。11.8 8路彩灯移存型控制器的设计路彩灯移存型控制器的设计 一 实验目的 1熟悉数字电路中时序逻辑电路与组合逻辑电路的设计。2熟悉并掌握用移位寄存器设计彩灯控制器的方法。二 设计任务与要求 1.彩灯明暗变换节拍为0.25S和0.5S,两种节拍交替运行。2.彩灯演示花型为三种(花型自拟)。3.彩灯用发光二极管模拟。即能控制8路LED以两种节拍、三种花型连续循环演示。三 参考器件 给定器件为四2输入与非门(74LS00)1只,六反相器(74LS04)1只,二进制同步计数器(74LS161)2只,四位双向移位寄存器(74LS194)2只,四2选1数据选择器(74LS157)1只,555定时器1只,电阻、电容若干。四 实验要求 按照设计任务设计电路,然后在仿真软件上进行虚拟实验,正确后,在实验板上搭建实验电路,观察彩灯花型是否正确,如果不正确,排除故障直至正确为止。最后一步是撰写实验报告、整理文档,对实验进行总结。五 设计说明 彩灯控制器原理框图如图5-45所示。图中,虚线以上为处理器,虚线以下是控制器。从图5-45可以看出,编码发生器的功能是:根据花型要求按节拍送出8位状态编码信号,以便控制灯的亮灭。其电路可以选用四位双向移位寄存器来实现。八路灯用两片移位寄存器级联就可以实现。缓冲驱动电路的功能是:提供彩灯所需要的工作电压和电流,隔离负载对编码发生器工作的影响。彩灯控制器对定时器的要求不高,振荡器可采用环形振荡器或555定时器来实现。控制电路为编码发生器提供所需要的节拍脉冲和控制信号,以同步整个系统工作。选择的花型可以自拟,这里提供三种花型供参考。选定的三种花型为:1.从左至右顺次渐亮,全亮后逆序渐灭;2.中间到两边对称地逐渐渐亮,全亮后,再由中间向两边逐一 熄灭;3.八路灯分两半,从左至右顺次渐亮,全亮后全灭。控制电路缓冲驱动编码发生器定时器8路灯 图5-45 8路彩灯原理框图12.D/A D/A及及A/DA/D转换器实验转换器实验一 实验目的 1熟悉DAC及ADC的工作原理。2掌握DAC及ADC芯片的使用。二 实验要求 对于DAC及ADC实验,首先了解DAC及ADC的工作原理,熟悉两种芯片的性能,然后在计算机上进行虚拟实验,正确后在实验板上搭建硬件电路,观察实验结果,如果不正确,查找故障直至正确为止。最后一项工作是撰写实验报告、整理文档,对实验进行总结。三 实验所用仪器、设备1 万用表 一块 2 直流稳压电源 一台3 函数信号发生器 一台4 双踪示波器 一台5 数字电路实验板 一块四 实验说明1.A/D转换器 实验所采用的模数转换器ADC0804是一个8位逐次逼近型A/D转换器,图5-46为它的原理框图。它由控制逻辑电路、寄存器、锁存器和D/A转换、比较器组成,为 待转换的模拟信号,经转换后的数字信号由锁存器并行输出。iU+-D/A转换器寄存器锁存器控制逻辑电路CPiU0U并行输出数字信号C图5-46 逐次逼近型A/D转换器原理框图 转换前,寄存器清零,D/A输入为 ,所以,。如果模拟输入信号为正,则时钟脉冲通过控制逻辑电路将寄存器最高位(MSB)置“1”,寄存器输出为 ,经D/A转换后的 和 值进行比较。如果 ,则比较器的输出电平C=0,说明数字量尚不够大,应保留最高位。第二个CP脉冲到来时,将寄存器次高位置“1”,然后经D/A变换后重复上述比较,以决定次高位的“1”是舍去还是保留,这样依次进行逐位比较,直至最低位。一旦比较完毕,控制逻辑电路发出信号,寄存器中的的状态就存入锁存器,锁存器中的状态便是与输入模拟信号相对应的数字信号。0U000001000UiUi0UU ADC0804的典型连接电路如图5-46所示。图中,电源端上的10 电容器是为了降低电源的内阻,另一只电容器0.1 的接入可以减少外界干扰对模拟输入电压 和参考电压 的影响。只要在模拟信号输入端加上适当的电压 ,并给以启动信号,则从 端便可得到相应的8位数字信号(端用8只发光二极管显示其上的高低电平值)。FFINuREFu70DDINu70DD2.D/A转换器 用二进制可逆计数器与梯形电阻网络组成的电路,可以产生阶梯式的正、反向锯齿波,如果加上控制电路,则可以产生三角波。给阶梯式的正、反向锯齿波,三角波后接有源滤波电路,则可以产生所需的模拟信号,即正、反向锯齿波与三角波。这是用中规模的计数器产生模拟信号的方法,也可以用专用的数模转换芯片DAC0808实现数模转换,其实验电路如图5-47所示。DAC0808输出为电流输出,经过电流.电压转换电路,转换为电压输出。当参考电压为+5V时,模拟输出电压为:256427100AAAuDAC080812345678910111213141516NC GNDEEV0I0D1D2D3D4D5D6D7DCCV)(REFV)(REFVCOMP-15VF1-+0U-15V+15V+15V+5VK5.2K5.2 图5-47 DAC0808实验电路图五 参考器件 给定器件为:A/D转换器(ADC0804)1只,D/A转换器(DAC0808)1只,运算放大器(LM741)1只,二进制可逆计数器(74LS193)1只,双D触发器(74LS74)1只,四2输入与非门(74LS00)1只,电阻、电容若干。六 实验内容与步骤1.D/A转换器实验(1)用一片四位可逆计数器,一只运算放大器以及有源滤波器电路设计一个D/A转换器(可逆计数器用74LS193,运算放大器采用LM741),产生正、反向锯齿波及三角波,如图5-48所示。(2)测试不接有源滤波电路时 的波形,并记录之,测出正、反向锯齿波及三角波的幅度、周期值。u(3)测试接了有源滤波电路后的 的波形。74LS193控制电路1R1R1R2R2R2R2R2R2RCPCPDLrCDCBAAQBQCQDQCOBO KR1u图5-48 4位DAC原理图 u2.A/D转换器实验(1)用逐次逼近型A/D芯片ADC0804,安装一个8位的A/D转换器,准备进行以下实验。(2)在A/D转换器的模拟信号输入端加入0 +5V的可调直流电压,按表的要求进行测量,输出用发光二极管显示。07DD(3)将A/D和D/A连接起来进行实验(D/A用DAC0808)。当输入模拟电压 使A/D的输出 依次单独出现“1”时,用万用表测量D/A的模拟输出电压。INu07DD 将输入直流模拟电压改为半波整流后的电压信号,用双踪示波器观察A/D输入信号 和经D/A后的输出信号 ,并记录之,对两者波形进行分析。INu0u 改变输入模拟电压大小,使输出的数字量刚好为 是高电平,其它输出为低电平,用示波器观察 、位的数字信号波形,并从中得出结论。7D6D7DINu7D6D5D4D3D2D1D0D0u输入模拟电压A/D输出数字量D/A输出 00000001 00000010 00000100 00001000 00010000 00100000 01000000 10000000 INu7D6D5D4D3D2D1D0D0u
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!