数字电子技术综合实验报告-简易电子琴

上传人:ren****ao 文档编号:157482330 上传时间:2022-09-29 格式:DOCX 页数:40 大小:364.08KB
返回 下载 相关 举报
数字电子技术综合实验报告-简易电子琴_第1页
第1页 / 共40页
数字电子技术综合实验报告-简易电子琴_第2页
第2页 / 共40页
数字电子技术综合实验报告-简易电子琴_第3页
第3页 / 共40页
点击查看更多>>
资源描述
数字电子技术综合实验报告2012-2013学年第二学期姓名:学号:班级: 实验时间:实验指导老师: 目录一、设计任务2二、设计方案2三、系统框图3四、方案实现31.乐曲演奏的原理32.总体方案4五、实验结果6六、方案优化7七、心得体会7附录71. VHDL源程序72. 各层次原理图353.编译报告40题目: 简易电子琴设计摘要 电子琴的设计大规模可编程逻辑器件(FPGA)作为系统的核心控制部分通过软件的设计编写然后进行软硬件的调试运行最终达到设计电路的乐器演奏、选歌及显示功能。设计中采用计数原理控制演奏器发声,对音乐发生所必须确定的音符和节拍分别用程序语言实现。可以用它来弹奏和播放乐曲。特点是设计思路简单、清晰。关键字:电子琴 CPLD一、设计任务1. 基本要求(1) 具有一般弹奏功能;(2) 自动播放功能;(3) 数码显示音符功能。2发挥部分 (1)能通过选择键在多首歌曲中选择播放; (2)输出增加功率放大电路,增加歌曲容量;(3)增加音效或节拍可调;(4)无线弹奏。二、设计方案采用大规模可编程逻辑器件(FPGA),利用quartusII,通过verilog代码实现简易电子琴演奏电路。三、系统框图四、方案实现1.乐曲演奏的原理:乐曲演奏的原理:组成乐曲的每个音符的频率值(音调)以及持续时间(音长)是乐曲能持续演奏所需的两个基本数据,因此只要控制输出到扬声器的激励信号的频率的高低和持续的时间,就可以使扬声器发出持续的乐曲声。l 音调的控制 频率的高低决定了音调的高低。音乐的十二平均率规定:每两个八度音(如简谱中的中音1与高音1)之间的频率相差一倍。在两个八度音之间,又可分为十二个半音,每半个音的频率比为。另外,音名A(简谱中的低音6)的频率为440HZ,音名B到C之间,E到F之间为半音,其余为全音。由此可以计算出简谱中从低音1至高音1之间的每个音名对应的频率如图所示:音名频率(HZ)音名频率(HZ)音名频率(HZ)低音1261.6中音1523.3高音11046.5低音2293.7中音2587.3高音21174.7低音3329.6中音3659.3高音31318.5低音4349.2中音4698.5高音41396.9低音5392中音5784高音51568低音6440中音6880高音61760低音7493.9中音7987.8高音71975.5 所有不同频率均从同一基准频率分频得到。将分频数四舍五入进行取整,并尽量减小误差。在本设计中选取6MHZ作为基准频率。从下表中可以看出最大分频系数为11468,采用14位二进制计数器分频可满足要求。此外还应给出预置数,对应不同的预置数,只需加载不同的预置数即可。采用加载预置数实现分频的方法比采用反馈复零法节省资源,实现起来更加容易。音名分频比预置数音名分频比预置数音名分频比预置数低音1114684915中音1573610647高音1286713516低音2102156168中音2511111272高音2255413829低音391027281中音3455211831高音3227414109低音485917792中音4428912094高音4214814235低音576538730中音5382712556高音5191314470低音668189565中音6340912947高音6170514678低音7607310310中音7303713346高音7151914864l 音长的控制音符的持续时间须根据音乐的速度及每个音符的节拍数来确定。如果设定全音符的持续时间为1S的话,假设产生4分音符,则产生四分频即可实现四分音符的时长,并提供4HZ分频器。如图为乐曲演奏的原理图,其中,乐谱产生电路用来控制音乐的音调和时长。控制音调通过设置计数器的预置数来实现,预置不同的数值会可以使计数器产生不同频率的信号,从而产生不同的音调。控制音长是通过控制计数器预置数的停留时间来实现的,预置数的停留时间越长,则该音符的演奏时间越长,如2分音符,在记谱时将该音符记录两次即可。2.总体方案 系统设计运用了自上而下的模块化设计思想,整个系统可分为分频,播放,弹奏,显示四个部分。1. 分频部分分频部分为一个可预置数的加计数器、二分频器和预置数选择器。预置数选择器选择来自播放和弹奏部分的不同的预置数。从预置数起循环作加计数,计到16383时产生一个高电平,否则得到低电平,将信号再进行二分频便可得到方波信号。预制数不同,产生的方波信号频率也不同。因此,只要改变加计数器的预置数,便可由6MHz的时钟信号分频得到不同频率的方波。将方波信号输出到扬声器,便可发出不同音调的声音。2. 播放部分播放部分为一个节拍发生器、预置数获得器和一个存储器。节拍发生器将一个64Hz的时钟信号分为32Hz,16Hz,8Hz和4Hz的信号,以适应不同歌曲的播放速度。预置数获得器将音阶信号转换为对应的预置数。存储器将歌曲的预置数传送给分频器,实现曲目的不同音调和音长。3. 弹奏部分弹奏部分有十四个按键,每个按键对应一个音阶,及对应一个预置数,将预置数传递给分频部分便可得到不同频率的方波,从而产生不同的音调。4. 显示部分在进行音乐播放或弹奏时,将产生的预置数传递给此模块。根据不同的预置数,产生不同的信号,输出到数码管,便可显示出音阶数字。5. 功能仿真(1) 综合仿真结果:设置输入信号key1为高电平,此时设置key=8192,即key2=1,key3key15=0。功能为播放第一首歌,在仿真结束后,如图示,fout为蜂鸣器的输出,led为数码管显示。如图示也正确,所以本设计经过仿真证明正确可行。(2) 总电路原理图(3)引脚分配输入信号:1个6MHz时钟信号,1个64Hz时钟信号,1个功能选择按键,14个弹奏(选择)按键;输出信号:3个数码管输出,一个蜂鸣器输出声音。五、实验结果在编译并仿真后下载,通过硬件测试,实验达到预期效果,当key1=0时,通过key2key15这14个按键的键入蜂鸣器可以发出中音及高音的doxi十四个音阶的音,即表示了电子琴的弹奏功能;当key1=1时,key2=1时播放了第一首歌;key3=1时,播放了第二首歌;key4=1时,播放第三首歌;key5=1时,播放了第四首歌。发出声音的同时,数码管可以显示不同的代表音符的数字。通过硬件的测试,所有的设计目标均实现。六、方案优化若采用正弦波代替方波来驱动扬声器将会有更好的效果。七、心得体会本次设计要用quartusII软件,由于之前对此软件不是太熟悉所以导致了一些错误和问题的发生,所以这次课程设计中认真学习了quartusII软件的具体使用步骤和操作流程,对所有可能出现的问题进行逐一攻破。通过这次实验,我不但熟悉了quartusII软件,也了解了开发的最基本流程和方法,也进一步加深了对Verilog编程语言的理解,最重要的是锻炼了我独立思考和分析的逻辑能力,通过从顶向下的设计方法,一步步实现,然后将整个设计串套起来,是我对设计的流程以及编程有了很大的提高。附录:1.VHDL源程序module change_fenpinbi(clk_6m,prenum,tofout);/通过预置数改变分频比;input clk_6m;input 13:0prenum;output tofout;wire clk_6m;reg 13:0divider;reg tofout;always(posedge clk_6m)beginif(divider=16383)begintofout=1b1;divider=prenum;endelsebegintofout=1b0;divider=divider+14d1;endendendmodule module er_fen_pin(tofout,fout); /二分频模块;input tofout;output fout;reg fout;always(posedge tofout)beginfout=fout;endendmodulemodule fenpin(clk_64,clk_16,clk_8,clk_4); /获得适应不同曲目不同的时钟信号;input clk_64;output clk_16,clk_8,clk_4;wire clk_64;reg clk_32,clk_16,clk_8,clk_4;reg counter2;reg 1:0counter4;always(posedge clk_64)beginclk_32=clk_32;endalways(posedge clk_32)begin clk_16=clk_16;if(counter2=1b1)beginclk_8=clk_8;counter2=1b0;endelsecounter2=counter2+1b1;if(counter4=2d3)beginclk_4=clk_4;counter4=2d0;endelsecounter4=counter4+2d1;endendmodulemodule get_prenum(clk_sing,scale,prenum_s);/将音阶信号转换为预置数;input clk_sing;input 4:0scale;output 13:0prenum_s;wire clk_sing;wire 4:0scale;reg 13:0prenum_s;always(posedge clk_sing)begincase(scale)5d0:prenum_s=14d16383;5d1:prenum_s=14d4915;5d2:prenum_s=14d6168;5d3:prenum_s=14d7281;5d4:prenum_s=14d7792;5d5:prenum_s=14d8730;5d6:prenum_s=14d9565;5d7:prenum_s=14d10301;5d8:prenum_s=14d10647;5d9:prenum_s=14d11272;5d10:prenum_s=14d11831;5d11:prenum_s=14d12074;5d12:prenum_s=14d12556;5d13:prenum_s=14d12974;5d14:prenum_s=14d13346;5d15:prenum_s=14d13516;5d16:prenum_s=14d13829;5d17:prenum_s=14d14109;5d18:prenum_s=14d14235;5d19:prenum_s=14d14470;5d20:prenum_s=14d14678;5d21:prenum_s=14d14846;endcaseend endmodulemodule premusic(clk_16,clk_8,clk_4,key,clk_sing,scale); /预置曲目模块;input clk_16,clk_8,clk_4;input 13:0key;output 4:0scale;output clk_sing;reg clk_sing;reg 4:0scale;reg 4:0scale1;reg 4:0scale2;reg 4:0scale3;reg 4:0scale4;reg 7:0counter8_1;reg 7:0counter8;reg 8:0counter9;reg 8:0counter9_1;always(key)/选择曲目;begincase(key)14d8192:begin scale=scale1;clk_sing=clk_4;end14d4096:Beginscale=scale2;clk_sing=clk_4;end14d512: begin scale=scale3;clk_sing=clk_8;end14d1024: Beginscale=scale4;clk_sing=clk_8;enddefault:begin scale=5d0;clk_sing=clk_4;endendcaseendalways(posedge clk_4)/梁祝;beginif(counter8=8d134)counter8=8d0;elsecounter8=counter8+8d1;case(counter8)8d0: scale1=5d3;8d1: scale1=5d3;8d2: scale1=5d3;8d3: scale1=5d3;8d4: scale1=5d5;8d5: scale1=5d5;8d6: scale1=5d5;8d7: scale1=5d6;8d8: scale1=5d8;8d9: scale1=5d8;8d10: scale1=5d8;8d11: scale1=5d9;8d12: scale1=5d6;8d13: scale1=5d8;8d14: scale1=5d5;8d15: scale1=5d5;8d16: scale1=5d12;8d17: scale1=5d12;8d18: scale1=5d12;8d19: scale1=5d15;8d20: scale1=5d13;8d21: scale1=5d12;8d22: scale1=5d10;8d23: scale1=5d12;8d24: scale1=5d9;8d25: scale1=5d9;8d26: scale1=5d9;8d27: scale1=5d9;8d28: scale1=5d9;8d29: scale1=5d9;8d30: scale1=5d0;8d31: scale1=5d0;8d32: scale1=5d9;8d33: scale1=5d9;8d34: scale1=5d9;8d35: scale1=5d10;8d36: scale1=5d7;8d37: scale1=5d7;8d38: scale1=5d6;8d39: scale1=5d6;8d40: scale1=5d5;8d41: scale1=5d5;8d42: scale1=5d5;8d43: scale1=5d6;8d44: scale1=5d8;8d45: scale1=5d8;8d46: scale1=5d9;8d47: scale1=5d9;8d48: scale1=5d3;8d49: scale1=5d3;8d50: scale1=5d8;8d51: scale1=5d8;8d52: scale1=5d6;8d53: scale1=5d5;8d54: scale1=5d6;8d55: scale1=5d8;8d56: scale1=5d5;8d57: scale1=5d5;8d58: scale1=5d5;8d59: scale1=5d5;8d60: scale1=5d5;8d61: scale1=5d5;8d62: scale1=5d5;8d63: scale1=5d5;8d64: scale1=5d10;8d65: scale1=5d10;8d66: scale1=5d10;8d67: scale1=5d12;8d68: scale1=5d7;8d69: scale1=5d7;8d70: scale1=5d9;8d71: scale1=5d9;8d72: scale1=5d6;8d73: scale1=5d8;8d74: scale1=5d5;8d75: scale1=5d5;8d76: scale1=5d5;8d77: scale1=5d5;8d78: scale1=5d0;8d79: scale1=5d0;8d80: scale1=5d3;8d81: scale1=5d5;8d82: scale1=5d5;8d83: scale1=5d3;8d84: scale1=5d5;8d85: scale1=5d6;8d86: scale1=5d7;8d87: scale1=5d9;8d88: scale1=5d6;8d89: scale1=5d6;8d90: scale1=5d6;8d91: scale1=5d6;8d92: scale1=5d6;8d93: scale1=5d6;8d94: scale1=5d5;8d95: scale1=5d6;8d96: scale1=5d8;8d97: scale1=5d8;8d98: scale1=5d8;8d99: scale1=5d9;8d100: scale1=5d12;8d101: scale1=5d12;8d102: scale1=5d10;8d103: scale1=5d10;8d104: scale1=5d9;8d105: scale1=5d9;8d106: scale1=5d10;8d107: scale1=5d9;8d108: scale1=5d8;8d109: scale1=5d8;8d110: scale1=5d6;8d111: scale1=5d5;8d112: scale1=5d3;8d113: scale1=5d3;8d114: scale1=5d3;8d115: scale1=5d3;8d116: scale1=5d8;8d117: scale1=5d8;8d118: scale1=5d8;8d119: scale1=5d8;8d120: scale1=5d6;8d121: scale1=5d8;8d122: scale1=5d6;8d123: scale1=5d5;8d124: scale1=5d3;8d125: scale1=5d5;8d126: scale1=5d6;8d127: scale1=5d8;8d128: scale1=5d5;8d129: scale1=5d5;8d130: scale1=5d5;8d131: scale1=5d5;8d132: scale1=5d5;8d133: scale1=5d5;8d134: scale1=5d0;8d135: scale1=5d0;default:scale1=5d0;endcaseendalways(posedge clk_4) /小情歌;begin if(counter9=9d334)counter9=9d0;elsecounter9=counter9+9d1;case(counter9)9d0: scale2=5d0;9d1: scale2=5d0;9d2: scale2=5d15;9d3: scale2=5d14;9d4: scale2=5d15;9d5: scale2=5d15;9d6: scale2=5d14;9d7: scale2=5d14;9d8: scale2=5d15;9d9: scale2=5d15;9d10: scale2=5d14;9d11: scale2=5d14;9d12: scale2=5d12;9d13: scale2=5d12;9d14: scale2=5d10;9d15: scale2=5d10;9d16: scale2=5d12;9d17: scale2=5d12;9d18: scale2=5d12;9d19: scale2=5d12;9d20: scale2=5d14;9d21: scale2=5d14;9d22: scale2=5d14;9d23: scale2=5d14;9d24: scale2=5d13;9d25: scale2=5d13;9d26: scale2=5d13;9d27: scale2=5d13;9d28: scale2=5d13;9d29: scale2=5d13;9d30: scale2=5d13;9d31: scale2=5d13;9d32: scale2=5d0;9d33: scale2=5d0;9d34: scale2=5d15;9d35: scale2=5d14;9d36: scale2=5d15;9d37: scale2=5d15;9d38: scale2=5d14;9d39: scale2=5d14;9d40: scale2=5d15;9d41: scale2=5d15;9d42: scale2=5d14;9d43: scale2=5d14;9d44: scale2=5d15;9d45: scale2=5d15;9d46: scale2=5d17;9d47: scale2=5d0;9d48: scale2=5d17;9d49: scale2=5d17;9d50: scale2=5d17;9d51: scale2=5d17;9d52: scale2=5d17;9d53: scale2=5d17;9d54: scale2=5d17;9d55: scale2=5d17;9d56: scale2=5d0;9d57: scale2=5d0;9d58: scale2=5d0;9d59: scale2=5d0;9d60: scale2=5d0;9d61: scale2=5d13;9d62: scale2=5d15;9d63: scale2=5d13;9d64: scale2=5d15;9d65: scale2=5d15;9d66: scale2=5d15;9d67: scale2=5d15;9d68: scale2=5d15;9d69: scale2=5d15;9d70: scale2=5d16;9d71: scale2=5d17;9d72: scale2=5d16;9d73: scale2=5d16;9d74: scale2=5d16;9d75: scale2=5d16;9d76: scale2=5d0;9d77: scale2=5d12;9d78: scale2=5d14;9d79: scale2=5d12;9d80: scale2=5d14;9d81: scale2=5d14;9d82: scale2=5d14;9d83: scale2=5d14;9d84: scale2=5d16;9d85: scale2=5d16;9d86: scale2=5d15;9d87: scale2=5d0;9d88: scale2=5d15;9d89: scale2=5d15;9d90: scale2=5d15;9d91: scale2=5d15;9d92: scale2=5d15;9d93: scale2=5d15;9d94: scale2=5d15;9d95: scale2=5d15;9d96: scale2=5d17;9d97: scale2=5d17;9d98: scale2=5d17;9d99: scale2=5d16;9d100: scale2=5d16;9d101: scale2=5d16;9d102: scale2=5d15;9d103: scale2=5d15;9d104: scale2=5d16;9d105: scale2=5d16;9d106: scale2=5d16;9d107: scale2=5d15;9d108: scale2=5d15;9d109: scale2=5d15;9d110: scale2=5d12;9d111: scale2=5d12;9d112: scale2=5d12;9d113: scale2=5d12;9d114: scale2=5d12;9d115: scale2=5d12;9d116: scale2=5d12;9d117: scale2=5d15;9d118: scale2=5d14;9d119: scale2=5d13;9d120: scale2=5d12;9d121: scale2=5d12;9d122: scale2=5d12;9d123: scale2=5d12;9d124: scale2=5d12;9d125: scale2=5d12;9d126: scale2=5d12;9d127: scale2=5d12;9d128: scale2=5d0;9d129: scale2=5d0;9d130: scale2=5d15;9d131: scale2=5d14;9d132: scale2=5d15;9d133: scale2=5d15;9d134: scale2=5d14;9d135: scale2=5d14;9d136: scale2=5d15;9d137: scale2=5d15;9d138: scale2=5d14;9d139: scale2=5d14;9d140: scale2=5d12;9d141: scale2=5d12;9d142: scale2=5d10;9d143: scale2=5d10;9d144: scale2=5d12;9d145: scale2=5d12;9d146: scale2=5d12;9d147: scale2=5d12;9d148: scale2=5d14;9d149: scale2=5d14;9d150: scale2=5d14;9d151: scale2=5d14;9d152: scale2=5d15;9d153: scale2=5d15;9d154: scale2=5d15;9d155: scale2=5d15;9d156: scale2=5d15;9d157: scale2=5d15;9d158: scale2=5d15;9d159: scale2=5d15;9d159: scale2=5d0;9d160: scale2=5d0;9d161: scale2=5d15;9d162: scale2=5d14;9d163: scale2=5d15;9d164: scale2=5d15;9d165: scale2=5d14;9d166: scale2=5d14;9d167: scale2=5d15;9d168: scale2=5d15;9d169: scale2=5d14;9d170: scale2=5d14;9d171: scale2=5d15;9d172: scale2=5d15;9d173: scale2=5d17;9d174: scale2=5d20;9d175: scale2=5d19;9d176: scale2=5d19;9d177: scale2=5d19;9d178: scale2=5d19;9d179: scale2=5d19;9d180: scale2=5d19;9d181: scale2=5d19;9d182: scale2=5d19;9d183: scale2=5d19;9d184: scale2=5d19;9d185: scale2=5d19;9d186: scale2=5d19;9d187: scale2=5d0;9d188: scale2=5d19;9d189: scale2=5d18;9d190: scale2=5d17;9d191: scale2=5d18;9d192: scale2=5d18;9d193: scale2=5d18;9d194: scale2=5d18;9d195: scale2=5d18;9d196: scale2=5d18;9d197: scale2=5d19;9d198: scale2=5d19;9d199: scale2=5d16;9d200: scale2=5d16;9d201: scale2=5d16;9d202: scale2=5d16;9d203: scale2=5d0;9d204: scale2=5d18;9d205: scale2=5d17;9d206: scale2=5d16;9d207: scale2=5d17;9d208: scale2=5d17;9d209: scale2=5d17;9d210: scale2=5d17;9d211: scale2=5d16;9d212: scale2=5d16;9d213: scale2=5d16;9d214: scale2=5d15;9d215: scale2=5d15;9d216: scale2=5d15;9d217: scale2=5d15;9d218: scale2=5d15;9d219: scale2=5d15;9d220: scale2=5d15;9d221: scale2=5d15;9d222: scale2=5d15;9d223: scale2=5d17;9d224: scale2=5d17;9d225: scale2=5d17;9d226: scale2=5d16;9d227: scale2=5d16;9d228: scale2=5d16;9d229: scale2=5d17;9d230: scale2=5d17;9d231: scale2=5d18;9d232: scale2=5d18;9d233: scale2=5d18;9d234: scale2=5d17;9d235: scale2=5d17;9d236: scale2=5d17;9d237: scale2=5d15;9d238: scale2=5d15;9d239: scale2=5d16;9d240: scale2=5d16;9d241: scale2=5d16;9d242: scale2=5d16;9d243: scale2=5d16;9d244: scale2=5d16;9d245: scale2=5d16;9d246: scale2=5d16;9d247: scale2=5d0;9d248: scale2=5d0;9d249: scale2=5d12;9d250: scale2=5d12;9d251: scale2=5d0;9d252: scale2=5d13;9d253: scale2=5d15;9d254: scale2=5d15;9d255: scale2=5d0;9d256: scale2=5d17;9d257: scale2=5d17;9d258: scale2=5d0;9d259: scale2=5d17;9d260: scale2=5d17;9d261: scale2=5d16;9d262: scale2=5d15;9d263: scale2=5d17;9d264: scale2=5d16;9d265: scale2=5d15;9d266: scale2=5d17;9d267: scale2=5d17;9d268: scale2=5d16;9d269: scale2=5d15;9d270: scale2=5d0;9d271: scale2=5d15;9d272: scale2=5d0;9d273: scale2=5d15;9d274: scale2=5d13;9d275: scale2=5d15;9d276: scale2=5d16;9d277: scale2=5d17;9d278: scale2=5d0;9d279: scale2=5d17;9d280: scale2=5d17;9d281: scale2=5d17;9d282: scale2=5d17;9d283: scale2=5d0;9d284: scale2=5d12;9d285: scale2=5d13;9d286: scale2=5d15;9d287: scale2=5d0;9d288: scale2=5d0;9d289: scale2=5d15;9d290: scale2=5d13;9d291: scale2=5d15;9d292: scale2=5d16;9d293: scale2=5d17;9d294: scale2=5d16;9d295: scale2=5d17;9d296: scale2=5d12;9d297: scale2=5d12;9d298: scale2=5d12;9d299: scale2=5d0;9d300: scale2=5d12;9d301: scale2=5d13;9d302: scale2=5d15;9d303: scale2=5d0;9d304: scale2=5d0;9d305: scale2=5d15;9d306: scale2=5d13;9d307: scale2=5d15;9d308: scale2=5d16;9d309: scale2=5d17;9d310: scale2=5d16;9d311: scale2=5d17;9d312: scale2=5d0;9d313: scale2=5d16;9d314: scale2=5d16;9d315: scale2=5d15;9d316: scale2=5d15;9d317: scale2=5d16;9d318: scale2=5d16;9d319: scale2=5d15;9d320: scale2=5d15;9d321: scale2=5d15;9d322: scale2=5d15;9d323: scale2=5d15;9d324: scale2=5d15;9d325: scale2=5d15;9d326: scale2=5d15;9d327: scale2=5d15;9d328: scale2=5d15;9d329: scale2=5d15;9d330: scale2=5d15;9d331: scale2=5d15;9d332: scale2=5d15;9d333: scale2=5d15;9d334: scale2=5d15;default:scale2=5d0;endcaseendalways(posedge clk_8) /真的爱你;beginif(counter8_1=8d159)counter8_1=8d0;elsecounter8_1=counter8_1+8d1;case(counter8_1)8d0: scale3=5d0;8d1: scale3=5d0;8d2: scale3=5d0;8d3: scale3=5d0;8d4: scale3=5d0;8d5: scale3=5d0;8d6: scale3=5d0;8d7: scale3=5d0;8d8: scale3=5d0;8d9: scale3=5d0;8d10: scale3=5d5;8d11: scale3=5d5;8d12: scale3=5d8;8d13: scale3=5d8;8d14: scale3=5d9;8d15: scale3=5d9;8d16: scale3=5d10;8d17: scale3=5d10;8d18: scale3=5d10;8d19: scale3=5d0;8d20: scale3=5d10;8d21: scale3=5d9;8d22: scale3=5d8;8d23: scale3=5d9;8d24: scale3=5d9;8d25: scale3=5d9;8d26: scale3=5d9;8d27: scale3=5d9;8d28: scale3=5d9;8d29: scale3=5d0;8d30: scale3=5d9;8d31: scale3=5d10;8d32: scale3=5d9;8d33: scale3=5d9;8d34: scale3=5d8;8d35: scale3=5d8;8d36: scale3=5d7;8d37: scale3=5d8;8d38: scale3=5d7;8d39: scale3=5d7;8d40: scale3=5d6;8d41: scale3=5d6;8d42: scale3=5d6;8d43: scale3=5d6;8d44: scale3=5d6;8d45: scale3=5d6;8d46: scale3=5d8;8d47: scale3=5d9;8d48: scale3=5d10;8d49: scale3=5d10;8d50: scale3=5d10;8d51: scale3=5d10;8d52: scale3=5d10;8d53: scale3=5d9;8d54: scale3=5d8;8d55: scale3=5d9;8d56: scale3=5d9;8d57: scale3=5d9;8d58: scale3=5d9;8d59: scale3=5d9;8d60: scale3=5d9;8d61: scale3=5d8;8d62: scale3=5d7;8d63: scale3=5d8;8d64: scale3=5d8;8d65: scale3=5d8;8d66: scale3=5d8;8d67: scale3=5d5;8d68: scale3=5d8;8d69: scale3=5d12;8d70: scale3=5d7;8d71: scale3=5d8;8d72: scale3=5d12;8d73: scale3=5d12;8d74: scale3=5d5;8d75: scale3=5d5;8d76: scale3=5d8;8d77: scale3=5d8;8d78: scale3=5d9;8d79: scale3=5d9;8d80: scale3=5d10;8d81: scale3=5d10;8d82: scale3=5d10;8d83: scale3=5d0;8d84: scale3=5d10;8d85: scale3=5d9;8d86: scale3=5d8;8d87: scale3=5d9;8d88: scale3=5d9;8d89: scale3=5d9;8d90: scale3=5d9;8d91: scale3=5d9;8d92: scale3=5d9;8d93: scale3=5d0;8d94: scale3=5d9;8d95: scale3=5d10;8d96: scale3=5d9;8d97: scale3=5d9;8d98: scale3=5d8;8d99: scale3=5d8;8d100: scale3=5d7;8d101: scale3=5d8;8d102: scale3=5d7;8d103: scale3=5d7;8d104: scale3=5d6;8d105: scale3=5d6;8d106
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 管理文书 > 工作总结


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!