数字电路逻辑设计 第1章 绪论

上传人:仙*** 文档编号:157325848 上传时间:2022-09-29 格式:PPT 页数:60 大小:1.22MB
返回 下载 相关 举报
数字电路逻辑设计 第1章 绪论_第1页
第1页 / 共60页
数字电路逻辑设计 第1章 绪论_第2页
第2页 / 共60页
数字电路逻辑设计 第1章 绪论_第3页
第3页 / 共60页
点击查看更多>>
资源描述
1授课教师:倪德克授课教师:倪德克221世纪是信息数字化的时代,世纪是信息数字化的时代,“数字逻辑设计数字逻辑设计”是数字技是数字技术的基础,是电子信息类各专业的主要技术基础课程之一。术的基础,是电子信息类各专业的主要技术基础课程之一。脉冲与数字电子技术的应用非常广泛。脉冲与数字电子技术的应用非常广泛。电视技术电视技术雷达技术雷达技术通信技术通信技术计算机、自动控制计算机、自动控制航空航天航空航天3一、本课程的特点与要求:一、本课程的特点与要求:1、概念多,知识更新快,是后继的计算机硬件类 课程的基础;2、中、大规模集成电路是重点,要求掌握功能及 应用,掌握基本的分析与设计方法;对其内部 电路结构和工作原理不必过于深究。3、实践性强,要求认真做实验,巩固理论知识;应重视习题、基础实验和课程设计等实践性环节。4二、教学安排及考核:二、教学安排及考核:1、教学进程:2、考核办法:平时作业:30,期末成绩:70 。5 三、参考书:三、参考书:1、数字电子技术基础 闫 石 高教出版社 2、数字电子技术解题指南唐竟新 清华大学出版社 3、电子技术基础试题汇编 童诗白 高教出版社 4、CPLD技术及其应用 宋万杰等 西安电子科技大学出版社 5、数字电子技术常见题型解析及模拟题 西工大出版社 6、新编考研辅导丛书 电子线路辅导 西安电子科技大学出版6第第 1 章章 绪绪 论论1.1 数字电路的基本概念1.2 数制及其转换1.3 二十进制代码(BCD代码)1.4 算术运算和逻辑运算1.5 数字电路及其发展7电子技术的发展电子器件的改进与创新 19041904年发明电真空器件(电子管)电子管时代。19481948年发明半导体器件晶体管时代。2020世纪6060年代制造出集成电路集成电路时代。电子技术:研究电信号的产生、传送、接收和处理。模拟电子技术 数字电子技术1.1 1.1 数字电路的基本概念数字电路的基本概念8一、一、数字电路与模拟电路数字电路与模拟电路1.基本概念电信号:指随时间变化的电压和电流。模拟信号:在时间和幅值上都为连续的信号。数字信号:在时间和幅值上都为离散的信号。模拟电路:处理和传输模拟信号的电路。数字电路:处理和传输数字信号的电路。1.1 1.1 数字电路的基本概念数字电路的基本概念9模拟信号:时间上连续:任意时刻有一个相对的值。数值上连续:可以是在一定范围内的任意值。例如:电压、电流、温度、声音等。缺点:很难度量;容易受噪声的干扰;难以保存。优点:用精确的值表示事物。1.1 1.1 数字电路的基本概念数字电路的基本概念模拟电路:处理和传输模拟信号的电路。三极管工作在线性放大区。一、一、数字电路与模拟电路数字电路与模拟电路10数字信号:时间上离散:只在某些时刻有定义。数值上离散:变量只能是有限集合的一个值,常用0、1二进制数表示。例如:开关通断、电压高低、电流有无。数字信号在电路中常表现为突变的电压或电流。数字信号在电路中常表现为突变的电压或电流。5V(V)0t(ms)102030 4050锯齿波信号锯齿波信号tu11数字电路:处理和传输数字信号的电路。三极管工作在开关状态,即饱和区或截止区。12数字电路图例数字电路图例13 有两种逻辑体制:有两种逻辑体制:正逻辑体制正逻辑体制规定:高电平为逻辑规定:高电平为逻辑1 1,低电平为逻辑,低电平为逻辑0 0。负逻辑体制负逻辑体制规定:低电平为逻辑规定:低电平为逻辑1 1,高电平为逻辑,高电平为逻辑0 0。二、正逻辑与负逻辑二、正逻辑与负逻辑 数字信号数字信号是一种二值信号,用两个电平(高电平和低电是一种二值信号,用两个电平(高电平和低电 平)分别来表示两个逻辑值(逻辑平)分别来表示两个逻辑值(逻辑1 1和逻辑和逻辑0 0)。)。逻辑逻辑0 逻辑逻辑0 逻辑逻辑0 逻辑逻辑1 逻辑逻辑1 采用正逻辑体制所表示的逻辑信号采用正逻辑体制所表示的逻辑信号14逻辑变量的表示方法逻辑变量的表示方法 *与代数一样,逻辑变量可以用任何字母来表示,所不与代数一样,逻辑变量可以用任何字母来表示,所不同的是每个变量只能有两种不同的取值(同的是每个变量只能有两种不同的取值(0或或1)。通常)。通常可将逻辑变量可将逻辑变量A的两种不同取值表示为的两种不同取值表示为 A、。A:原变量:原变量 :反变量反变量 _A_A15三、数字信号的主要参数三、数字信号的主要参数 一个理想的周期性数字信号,可用以下几个参数来描绘一个理想的周期性数字信号,可用以下几个参数来描绘:Vm信号幅度。信号幅度。T信号的重复周期。信号的重复周期。tW脉冲宽度。脉冲宽度。q占空比。其定义为:占空比。其定义为:%100(%)WTtq5V(V)0t(ms)twTVm16图中所示为三个周期相同(T=20ms),但幅度、脉冲宽度及占空比各不相同的数字信号。Vt(V)(ms)501020304050Vt(V)(ms)01020304050Vt(V)(ms)010203040503.610(a)(b)(c)17一、进位计数制 1.2 1.2 数制及其转换数制及其转换:由数字符号构成且表示物理量大小的数字和数字组合。进位(简称数制):多位数码中每一位的构成方法,以及从低位到高位的进制规则。了解进位计数制的两个概念:进位基数和数位的权值(位权)。18 进位基数:进位基数:在一个数位上,规定在一个数位上,规定使用的数码符号的个数使用的数码符号的个数叫叫该进位计数制的进位基数或进位模数,记作该进位计数制的进位基数或进位模数,记作R。例如十进制,。例如十进制,每个数位规定使用的数码符号为每个数位规定使用的数码符号为0,1,2,9,共,共10个,个,故其进位基数故其进位基数R=10。数位的权值:数位的权值:某个数位所表征的数值,称为该数位的权值,某个数位所表征的数值,称为该数位的权值,简称简称“权权”。各个数位的权值均可表示成。各个数位的权值均可表示成Ri的形式,其中的形式,其中R是进位基数,是进位基数,i是各数位的序号。是各数位的序号。i按如下方法确定:整数部分,以小数点为起点,自右按如下方法确定:整数部分,以小数点为起点,自右向左依次为向左依次为0,1,2,n-1;小数部分,以小数点为起点,;小数部分,以小数点为起点,自左向右依次为自左向右依次为-1,-2,,-m。n是整数部分的位数,是整数部分的位数,m是是小数部分的位数。小数部分的位数。19 某个数位上的数码某个数位上的数码ai所表示的数值等于数码所表示的数值等于数码ai与该位与该位的权值的权值Ri的乘积。所以,的乘积。所以,R进制的数进制的数mnnRaaaaaaaaN.)(2101221又可以写成如下多项式的形式:又可以写成如下多项式的形式:122110011222211)(nmiiimmnnnnRRaRaRaRaRaRaRaRaRaN20二、二、几种常用的进位计数制几种常用的进位计数制1.十进制数字符号(系数):0、1、2、3、4、5、6、7、8、9计数规则:逢十进一基数:10各位的权值为10i,i是各数位的序号。十进制数用下标“D”表示,也可省略。例如:321012108105102108106103)258.368(D十进制数人们最熟悉,但机器实现起来困难。212.二进制 数字符号:0、1计数规则:逢二进一基数:2权:2i,i是各数位的序号是各数位的序号二进制数用下标二进制数用下标“B”表示。例如:表示。例如:二进制数由于只需两个状态,机器实现容易,二进制数由于只需两个状态,机器实现容易,因而二进制是因而二进制是数字系统唯一认识的代码。但数字系统唯一认识的代码。但数值越大,位数越多,读写不方便,容易出错!210123212021212021)01.1011(B223.八进制 n数字符号:0,1,2,3,4,5,6,7n计数规则:逢八进一n基数:8n权:8i,i是各数位的序号是各数位的序号n八进制数用下标八进制数用下标“O”表示。例如:表示。例如:(752.34)O=782+581+280+38-1+48-2 因为因为23=8,因而三位二进制数可用一位八进制,因而三位二进制数可用一位八进制数表示。数表示。234.十六进制 n数字符号:09、A、B、C、D、E、Fn计数规则:逢十六进一n基数:16n权:16i,i是各个数位的序号。是各个数位的序号。n十六进制数用下标十六进制数用下标“H”表示,例如:表示,例如:(BD2.3C)(BD2.3C)H H=B=B16162 2+D+D16161 1+2+216160 0+3+31616-1-1+C+C1616-2-2 =11=1116162 2+13+1316161 1+2+216160 0+3+31616-1-1+12+121616-2-2 因为因为2 24 4=16=16,所以四位二进制数可用一位十六进制数表示。,所以四位二进制数可用一位十六进制数表示。在计算机应用系统中,二进制主要用于机器内部的在计算机应用系统中,二进制主要用于机器内部的 数据处理,八进制和十六进制主要用于书写程序,数据处理,八进制和十六进制主要用于书写程序,十进制主要用于运算最终结果的输出。十进制主要用于运算最终结果的输出。24三、常用数制转换三、常用数制转换不同数制之间的转换方法有若干种。不同数制之间的转换方法有若干种。1、非十进制数转换成十进制数;、非十进制数转换成十进制数;采用按权展开相加法。具体步骤是,首先把非十进制采用按权展开相加法。具体步骤是,首先把非十进制数写成按数写成按位权位权展开的多项式,然后按十进制数的计数规则展开的多项式,然后按十进制数的计数规则求其和。求其和。例例1 (2A.8)H=(?)D解解 (2A.8)H=2161+A160+816-1 =32+10+0.5=(42.5)D25例例 2 (165.2)O=(?)D解解 (165.2)O=182+681+580+28-1 =64+48+5+0.25=(117.25)D例例3 (10101.11)B=(?)D解解 (10101.11)B=124+023+122+021 +120+12-1+12-2 =16+0+4+0+1+0.5+0.25=(21.75)D 262 2 十进制数转换成其它进制数十进制数转换成其它进制数 a、整数转换:、整数转换:除基数取余法除基数取余法。把十进制整数把十进制整数N转换成转换成R进制数的步骤如下:进制数的步骤如下:(1)将将N除以除以R,记下所得的商和余数。记下所得的商和余数。(2)将上一步所得的商再除以将上一步所得的商再除以R,记下所得商和余数。,记下所得商和余数。(3)重复做第重复做第(2)步,步,直到商为直到商为0;(4)将各个余数转换成将各个余数转换成R进制的数码,并按照和运算过程进制的数码,并按照和运算过程 相反的顺序相反的顺序把把各个余数排列各个余数排列起来,即为起来,即为R进制的数。进制的数。27例例 4 (427)D=(?)H 16 427 余数 16 26 11=B 最低位 16 110=A 01=1 最高位(427)D=(1AB)H 即解解28例例 5 (427)D=(?)O 8 427 余数 8 53 3 最低位 8 65 06 最高位(427)D=(653)O 即解解29例例 6 (11)D=(?)B 2 11 余数 2 5 1 最低位 2 21 21 0 01 最高位(11)D=(1011)B 即解解30例:求(217)10=()()2 解:2 217 余余1 b0 2 108 余余0 b1 2 54 余余0 b2 2 27 余余1 b3 2 13 余余1 b4 2 6 余余0 b5 2 3 余余1 b6 2 1 余余1 b7 0(217)10=(11011001)231b、纯小数转换:纯小数转换:乘乘基数基数取整法取整法把十进制的纯小数把十进制的纯小数M转换成转换成R进制数的步骤如下:进制数的步骤如下:(1)将将M乘以乘以R,记下整数部分。,记下整数部分。(2)将上一步乘积中的小数部分再乘以将上一步乘积中的小数部分再乘以R,记下整数部分。,记下整数部分。(3)重复做第重复做第(2)步,步,直到小数部分为直到小数部分为0或者满足精度要求或者满足精度要求 为止为止。(4)将各步求得的将各步求得的整数整数转换成转换成R进制的数码,并进制的数码,并按照和运按照和运 算过程相同的顺序排列算过程相同的顺序排列起来,即为所求的起来,即为所求的R进制数。进制数。32 例例 7 (0.85)D=(?)H 解解 0.8516=13.613=D 最高位 0.616=9.6 9=9 0.616=9.6 9=9 最低位 即 (0.85)D=(0.D99)H33 例例 8 (0.35)D=(?)O 解解 0.358=2.82 最高位 0.88=6.4 6 0.48=3.2 3 0.2 8=1.6 1 最低位即 (0.35)D=(0.2631)O34例例 9 (11.375)D=(?)B 2 11 2 5 1 2 21 21 0 01 (11)D=(1011)B 即解解0.3752=0.750.752=1.50.52=1.0(0.375)D=(0.011)B(11.375)D=(1011.011)B 即故353 3 二进制数转换成八进制数或十六进制数二进制数转换成八进制数或十六进制数 三位二进制数对应一位八进制数。四位二进制数对应三位二进制数对应一位八进制数。四位二进制数对应一位十六进制数。一位十六进制数。二进制数转换成八进制数二进制数转换成八进制数(或十六进制数或十六进制数)时,其整数时,其整数部分和小数部分可以同时进行转换。部分和小数部分可以同时进行转换。其方法是:其方法是:以二进制数的小数点为起点,分别向左、以二进制数的小数点为起点,分别向左、向右,每三位向右,每三位(或四位或四位)分一组。分一组。对于小数部分,最低位一组不足三位对于小数部分,最低位一组不足三位(或四位或四位)时,时,必须在必须在有效位右边补有效位右边补0 0,使其足位。然后,把每一组二进,使其足位。然后,把每一组二进制数转换成八进制制数转换成八进制(或十六进制或十六进制)数,并保持原排序。数,并保持原排序。对于整数部分,最高位一组不足位时,可在有效位的对于整数部分,最高位一组不足位时,可在有效位的左边补左边补0 0,也可不补。也可不补。36例例10 (1011011111.10011)B=(?)O=(?)H解解 1011011111.1001101337.46所以(1011011111.100110)B=(1337.46)O1011011111.100110002DF.98即(1011011111.10011)B=(2DF.98)H374 4 八进制数或十六进制数转换成二进制数八进制数或十六进制数转换成二进制数 八进制八进制(或十六进制或十六进制)数转换成二进制数时,数转换成二进制数时,只要把八只要把八进制进制(或十六进制或十六进制)数的每一位数码分别转换成三位数的每一位数码分别转换成三位(或四位或四位)的二进制数,的二进制数,并保持原排序即可。整数最高位一组左边并保持原排序即可。整数最高位一组左边的的0,及小数,及小数最低位一组右边的最低位一组右边的0,可以省略。,可以省略。例例11 (36.24)O=(?)B解解 (36.24)O=(011110.010100)B=(11110.0101)B 3 6 .2 4例例 12 (3DB.46)H=(?)B解解 (3DB.46)H=(001111011011.01000110)B 3DB.46(1111011011.0100011)(1111011011.0100011)B B38不同进制数的转换不同进制数的转换(1)将将R进制数转换成十进制数:进制数转换成十进制数:规则规则:只要将:只要将R进制数按进制数按位权位权展开,再按十进制运算规则展开,再按十进制运算规则运算,即可得到十进制数。运算,即可得到十进制数。(2)将十进制数转换成将十进制数转换成R进制数:进制数:规则规则:需将十进制数的:需将十进制数的整数部分整数部分和和小数部分小数部分分别进行转换,分别进行转换,然后将它们合并起来。整数部分转换时,用然后将它们合并起来。整数部分转换时,用除除R取余取余法。法。小数部分转换时,用小数部分转换时,用乘乘R取整取整法法.对于将十进制数转换成对于将十进制数转换成二二进制数,进制数,整数部分转换时,用整数部分转换时,用除除2取余取余法,倒记余数。法,倒记余数。小数部分转换时,用小数部分转换时,用乘乘2取整取整法法,正向取整正向取整。(3)基数基数R为为 各进制之间的互相转换各进制之间的互相转换 k239表1-1 几种计数进制数的对照表十进制二进制八进制十六进制00000001000111200102230011334010044501015560110667011177810001089100111910101012A11101113B12110014C13110115D14111016E15111117F40 1.3 1.3 二二十进制码(十进制码(BCDBCD码)码)二进制代码:具有特定意义的二进制数码。编码:代码的编制过程。BCD码:用一个四位二进制代码表示一位十进制数字的编码方法。4位二进制数有16种组合,可从这16种组合中选择10种组合分别来表示十进制的09十个数。选哪10种组合,有多种方案,这就形成了不同的BCD码。几种常用的BCD码如下表所示。若某种代码的每一位都有固定 的“权值”,则称这种代码为有权代码;否则,叫无权代码。41位权位权0123456789十进制数十进制数8 4 2 10 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 18421码码2 4 2 10 0 0 00 0 0 10 0 1 00 0 1 10 1 0 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 12421码码0 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 111 0 00 0 0 00 0 0 10 0 1 00 0 1 10 1 0 01 0 0 01 0 0 11 0 1 01 0 1 111 0 05 4 2 15421码码无权无权余余3码码 常用常用BCDBCD码码42(1)8421码n选取00001001表示十进制数09。n按自然顺序的二进制数表示所对应的十进制数字。n是有权码,从高位到低位的权依次为8、4、2、1,故称为8421码。n10101111等六种状态是不用的,称为禁用码。例:(1985)10=(0001 1001 1000 0101)8421BCD43(2)5421码(3)余3码选取00000100和10001100这十种状态。01010111和11011111等六种状态为禁用码。是有权码,从高位到低位的权值依次为5、4、2、1。选取00111100这十种状态。与8421码相比,对应相同十进制数均要多3(0011),故称余3码。其中的0和9,1和8,2和7,3和6,4和5,各对码组相加均为1111,具有这种特性的代码称为自补代码。余3码各位无固定权值,故属于无权码。44(4)2421码 2421BCD码的各位权值分别为2,4,2,1,2421码是有权码,也是一种自补代码。45其它常用的代码(1)格雷码(又称循环码):任意两个相邻的数所对应的代码之间只有一位不同,其余位都相同。四位循环码的编码表十进制数循环码十进制数循环码00000811001000191101200111011113001011111040110121010501111310116010114100170100151000循环码的这个特点,使它在代码的形成与传输时引起的误差比较小。46(2)奇偶校验码 具有检错能力,能发现奇数个代码位同时出错的情况。:信息位(可以是任一种二进制代码)及一位校验位。:,使校验位和信息位所组成的每组代码中含有奇数个1;,使校验位和信息位所组成的每组代码中含有偶数个1。奇偶校验码(以8421BCD码为例)47 用BCD 码表示十进制数时,只要把十进制数的每一位数码,分别用BCD码取代即可。反之,若要知道BCD码代表的十进制数,只要把BCD码以小数点为起点向左、向右每四位分一组,再写出每一组代码代表的十进制数,并保持原排序即可。48例例13 (902.45)D=(?)8421BCD解解 (902.45)D=(100100000010.01000101)8421BCD 例例14 (10000010.1001)5421BCD=(?)D解解 (10000010.1001)5421BCD=(52.6)D 5 2 .6 若把一种若把一种BCDBCD码转换成另一种码转换成另一种BCDBCD码,应先求出某种码,应先求出某种BCDBCD码码代表的十进制数,再将该十进制数转换成另一种代表的十进制数,再将该十进制数转换成另一种BCDBCD码。码。49例例15(01001000.1011)余3BCD=(?)2421BCD解解 (01001000.1011)余3BCD=(15.8)D=(00011011.1110)2421BCD 若将任意进制数用BCD码表示,应先将其转换成十进制数,再将该十进制数用BCD码表示。例例16 (73.4)8=(?)8421BCD解解 (73.4)8=(59.5)10=(01011001.0101)8421BCD50机器数机器数:机器中数的表示形式,数的符号(:机器中数的表示形式,数的符号(+/-)也)也数码化的数,即数码化的数,即。机器数有字长限制,符号位通常是数的最高机器数有字长限制,符号位通常是数的最高位。尾数部分有三种表示方法:原码、反码、补码。位。尾数部分有三种表示方法:原码、反码、补码。机器数机器数:51二进制数的算术运算二进制数的算术运算:当二进制数码当二进制数码0和和1表示的是表示的是数量大小数量大小时,两数之间的运算叫时,两数之间的运算叫算术运算算术运算。例例1 1、已知、已知X X=(1011)=(1011)2 2,Y=(1101)Y=(1101)2 2,试计算,试计算X+YX+Y的值。的值。解解 二进制数的加法规则是逢二进制数的加法规则是逢2 2进进1 1,由竖式加法得,由竖式加法得 X+Y=(11000)X+Y=(11000)2 2其中,其中,竖式上方的小圆点为相邻低竖式上方的小圆点为相邻低位的进位。位的进位。1 0 1 1 1 1 0 11 1 0 0 0+1.4 算术运算和逻辑运算算术运算和逻辑运算52例例2 2 已知已知X=(1101)2,Y=(1011)2,试计算,试计算X-Y的值。的值。解解 二进制数的减法规则是借二进制数的减法规则是借1为为2,由竖式减法得,由竖式减法得X-Y=(10)2其中,其中,竖式上方的小圆点为相邻低位的借位。竖式上方的小圆点为相邻低位的借位。1 1 0 1 1 0 1 1 0 0 1 0_53例例3 3 已知已知X=(1011)2,Y=(100)2,试计算,试计算X Y的值。的值。解解 二进制数的乘法规则是二进制数的乘法规则是 11=1,10=01=00=0,由由竖式乘法得竖式乘法得 X Y=(101100)2 同时,同时,由竖式乘法也可以看出,由竖式乘法也可以看出,二进制数乘法运算由加法运二进制数乘法运算由加法运算和左移位操作组成。当乘数为算和左移位操作组成。当乘数为2k时,将被乘数左移时,将被乘数左移k位(右侧位(右侧添添0)即可求得乘积。)即可求得乘积。1 0 1 1 1 0 00 0 0 0 0 0 0 01 0 1 11 0 1 1 0 054例例4 4 已知已知X=(10101)2,Y=(100)2,试计算,试计算X Y的值。的值。解解 二进制二进制 数除法是乘法的逆运算。数除法是乘法的逆运算。由竖式除法得由竖式除法得X Y=(101.01)2 同时,同时,由竖式除法也可以看出,二进制数除法运算由减法由竖式除法也可以看出,二进制数除法运算由减法运算和右移位操作组成。当除数是运算和右移位操作组成。当除数是2k时,将被除数右移时,将被除数右移k位即可位即可得到所求之商。得到所求之商。55逻辑运算:逻辑运算:当两个二进制数码表示的是不同的当两个二进制数码表示的是不同的逻辑逻辑状态状态时,它们之间按照一定的逻辑关系所进行的运时,它们之间按照一定的逻辑关系所进行的运算叫算叫逻辑运算逻辑运算。561.5 1.5 数字电路及其发展数字电路及其发展1、数字电路:对数字信号进行算术运算和逻辑运算的电路;数字电路:对数字信号进行算术运算和逻辑运算的电路;优点:优点:a a 易集成化,易集成化,b b 抗干扰能力强、精度高,抗干扰能力强、精度高,c c 便于存储,便于存储,d d 通用性强,通用性强,e e 保密性好。保密性好。2、数字集成电路:在一块半导体基片把众多的数字电路基本、数字集成电路:在一块半导体基片把众多的数字电路基本 单元制作在一起的电路;单元制作在一起的电路;3、集成电路按集成度的大小分为:、集成电路按集成度的大小分为:小、中、大、超大规模集成电路;小、中、大、超大规模集成电路;4、数字集成电路的发展趋势:数字集成电路的发展趋势:a a 大规模、大规模、b b 低功耗低功耗 c c 高速度高速度 d d 可编程可编程 e e 可测试可测试57n数字电路的发展趋势数字电路的发展趋势 电子工作台仿真软件电子工作台仿真软件 workbenchworkbench 可编程逻辑器件开发软件可编程逻辑器件开发软件 max+plusmax+plus 参考书:参考书:1 1、CPLD CPLD技术及其应用技术及其应用 宋万杰等宋万杰等 西电出版西电出版 2 2、Altera Altera可编程逻辑器件可编程逻辑器件及其应用及其应用 清华清华 3 3、可编程逻辑实验系统可编程逻辑实验系统设计指南设计指南 58电子设计硬件描述语言(电子设计硬件描述语言(VHDL/VerilogVHDL/Verilog HDL HDL)VHDLVHDL(全称为(全称为V VeryeryhighhighspeedspeedintegratedintegratedcircuitcircuitH HardwareardwareD DescriptionescriptionL Languageanguage)是)是用于描述数字电路的语言,经过专门的组织对其进用于描述数字电路的语言,经过专门的组织对其进行标准化后,现今已有行标准化后,现今已有VHDL87VHDL87 和和VHDL93VHDL93两个两个版本供我们使用版本供我们使用.59本章小结本章小结1 1、数字信号在时间上和数值上均是离散的。、数字信号在时间上和数值上均是离散的。2 2、数字电路中用高电平和低电平分别来表示逻辑、数字电路中用高电平和低电平分别来表示逻辑1 1和逻辑和逻辑0 0,它和二进制数中的它和二进制数中的0 0和和1 1正好对应。因此,数字系统中常正好对应。因此,数字系统中常 用二进制数来表示数据。用二进制数来表示数据。3 3、不同数制之间的转换不同数制之间的转换4 4、常用常用BCDBCD码码有有84218421码、码、242l242l码、码、542l542l码、余码、余3 3码等,其中码等,其中 842l842l码码使用最广泛。使用最广泛。R进制数进制数十进制数十进制数M进制数进制数2k进制数进制数二进制数二进制数2m进制数进制数60作业:P10:1,23456
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!