用Modelsim产生正弦波

上传人:z**** 文档编号:113638212 上传时间:2022-06-26 格式:DOC 页数:5 大小:167.50KB
返回 下载 相关 举报
用Modelsim产生正弦波_第1页
第1页 / 共5页
用Modelsim产生正弦波_第2页
第2页 / 共5页
用Modelsim产生正弦波_第3页
第3页 / 共5页
亲,该文档总共5页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
【转】 matlab 与 modelsim 联合仿真2011-03-04 12:18转载自分享最终编辑zyncarrie虽然 Modelsim 的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的 算法的仿真验证的时候,则显得有点不足。而进行数字信号处理是Matlab的强项,不但有大量的关于数字 信号处理的函数,而且图形显示功能也很强大,所以在做数字信号处理算法的FPGA验证的时候借助Matlab 会大大加快算法验证的速度。关于Matlab和Modelsim联合仿真,我从网上看到两种方法,一种是通过Link for Modelsim建立Matlab 和Modelsim的联合仿真接口;另一种就是通过文件读写的方式实现Matlab和Modelsim的联合仿真。我没 有仔细研究过第一种方法,我大概看了一下,感觉过程比较复杂,不过功能肯定也很强大,网上有一篇关 于 Link for Modelsim 的文章 target=_blank,有兴 趣的朋友可以去看一看。关于第二种方法,只是通过几个文件读写函数就可以实现了,而且基本可以满足 当前仿真的要求,所以这里主要讨论一下我所使用的这种方法,希望能够抛砖引玉吧,因为我也只能算个 初学者而已。在FPGA进行算法验证的时候,经常需要输入仿真数据,这些数据可以用FPGA产生,但是如果数据产 生过程很复杂的话,需要耗费很大的精力,并且产生的数据的准确性也不能保证。例如,如果要验证一个 通信接收机的相关算法,那么我们就需要先产生发送数据,也就是说得先做一个发射机,如果这个过程也 由FPGA实现的话,也是一个很复杂的过程。这时候我们就可以借助Matlab,利用Matlab内部自带的各种 函数,产生需要的信号,再经过定点化,就作为FPGA接收模块的输入信号了。这样做无疑会节约很多时 间和精力。下面用一个简单的例子说明如何用Matlab产生的数据用作Modelsim仿真。首先利用matlab产生一个周期256点8bit的正弦波数据,然后以16进制形式写入sin.txt文件x = fix(128 + (2人7 - 1) * sin(2*pi*n/N);fid = fopen(sin.txt,wt);fprintf(fid,%xn,x);然后将产生的 sin.txt 文件复制到 Modelsim 的工程下,在 Verilog 文件中先定义一个 8bit X 256 数组,然 后通过$readmemh命令,将文件中的数据读入,相关的Verilog代码如下:reg 7:0 data_mem0:255; /定义一个 8bit X 256 的数组initialbegin$readmemh(sin.txt,data_mem); /将 sin.txt 中的数据读入存储器 data_memend关于$readmemh的用法可以参见Verilog的参考书,这里就不详细说了。后面就可以用data_mem作为你的测试数据了。例如可以通过以下代码,将data_mem的数据送给data_out:always (posedge clk)beginif(rst)begindata_out = 8d0;i = 8d0;endelsebegindata_out = data_memi; /将存储器中的数据输出i = i + 8d1;end end这样利用 data_out 就可以输出一个正弦波波形,下图是 Molesim 仿真产生的正弦波波形:Matlab 对 Modelsim 仿真生成数据的处理也是通过文件读写实现的。即通过 Verilog 语句,将仿真过程 中的某个信号写入文件,然后在Matlab中在把这个文件的数据读出来,就可以在Matlab中进行分析了。以下的Verilog语句实现将信号data_out的数据写入data_out.txt文件integer w_file;initial w_file = $fopen(data_out.txt);always (i)begin$fdisplay(w_file,%h,data_out);if(i = 8d255)/共写入256个数据$stop;end然后就可以编一小段Matlab的程序将data_out.txt中的数据读取进行分析了。下面一段Matlab的程序是 将数据读取,并通过图形显示出数据的波形。fid = fopen(data_out.txt,r);num(i) = fscanf(fid, %x, 1);%这句话的意思是从fid所指的文件以16进制方式读出一个数据。第一:保证读取的数据格式和文件中保存的数据格式是相同的,例如这里文件中保存的格式是十六进制, 所以读取的时候也应该以十六进制的形式读出。第二:要保证文件中数据的个数和设定的读取的数目(这里是 256)保持一致。例如,要将生成文件 data_out.txt 中多余的换行符去掉(一般最后会多出一行),否则 Matlab 会将空的行也当做一个数据,从而当然,有了 Matlab这个强大的工具,也就可以很方便的看信号的频谱等信息了。另外在说一点,就是关于通过Verilog将数据写入文件有多种方法,上面用的是$彳山$卩巨丫这个系统函数, 当然还有$fmonitor和$fwrite等几个命令,下面简单说一下这几个命令的不同。$fdisplay这个命令需要有触发条件,才会把数据写入文件,例如,上例的触发条件就是always(i),当i变化的时候 才写入。每写入一次数据会自动增加一个换行符。$fmonitor这个命令不需要触发条件,只要有变化就可以将数据写入文件。例如可以通过以下语句:initial $fmonitor(w_file,%h,data_out);这样可以将整个仿真过程产生的data_out数据都写入文件中。$fwrite这个命令和$fdisplay基本相同,也是需要触发条件才会写入,不同的是每写入一个数据不会自动添加换行 符。例如可以通过以下语句:always (posedge clk)begin$fwrite(w_file,%hn,data_out);end关于这几个命令的详细介绍,大家可以参考Verilog的相关数据。简单总结一下上面用到的几个函数:关于 Matlab 的函数有:fopen,关于 Modelsim 的函数有:$fopen, $fclose, $readmemh,$readmemb, $fmonitor, $fdisplay, $fwrite。上面就是我关于Matlab和Modesim进行联合仿真的一些心得,如果大家还有其他更好的方法,希望不 吝赐教啊!
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 活动策划


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!