微机原理实验四报告模板-霓虹灯设计.doc

上传人:jian****018 文档编号:9517923 上传时间:2020-04-06 格式:DOC 页数:9 大小:854.50KB
返回 下载 相关 举报
微机原理实验四报告模板-霓虹灯设计.doc_第1页
第1页 / 共9页
微机原理实验四报告模板-霓虹灯设计.doc_第2页
第2页 / 共9页
微机原理实验四报告模板-霓虹灯设计.doc_第3页
第3页 / 共9页
点击查看更多>>
资源描述
南京工程学院自动化学院 实 验 报 告课程名称 微机原理及应用B 实验项目名称 霓虹灯设计 实验学生班级 实验学生姓名 同组学生姓名 实验时间 实验地点 实验成绩评定 指导教师签字 年 月 日本实验类型: 演示性 验证性 设计性 论证性一、实验目的和要求二、实验主要仪器和设备三、实验原理四、实验方案设计、实验方法五、实验步骤六、调试中遇到的问题及解决的办法七、实验结论八、思考题附件:程序清单注:1-4项内容为实验预习内容,学生须在进实验室之前完成。实验结果须有指导教师签字。 一、实验目的和要求巩固强化微机系统结构的概念,理解8086/88读写操作时序,掌握常用器件8255A、8253等的扩展、编程方法。根据设计要求,提出霓虹灯电路的设计原理与实现方法,设计开发霓虹灯控制电路。掌握设计需求分析方法,学会初步规划微机系统方案,选用适当的接口芯片,完成硬件设计。同时,掌握系统功能与工作过程描述的流程图描述方法,能够熟练应用开发工具通过汇编程序实现系统设计,培养微机系统的设计分析开发能力。二、实验主要仪器和设备1. 计算机及操作系统2. EDIT、MASM、LINK与Debug软件3. 伟福实验系统及集成开发调试环境三、实验原理1. 数码管数码管管脚定义如图:af g be cd2. 功能描述 霓虹灯用7段LED数码管动态显示实现,通过8255、74373或74374实现数码管段选与位选,从而实现霓虹灯的现开关控制 霓虹灯由6个数码管构成,工作过程中,6个数码管按从右到左、再从左到右的顺序依次点亮,数码管的abcdef段也是依次点亮3. 系统原理 系统硬件系统硬件基于74374实现,由基本逻辑门对地址、读写信号等进行逻辑运算,实现74374的脉冲信号CLK,利用CLK的边沿,数据被锁到LED端,实现LED的亮与灭的控制,从而实现霓虹灯的动作。 工作原理霓虹灯的位选与段选通过移位寄存器实现,每个数码管abcdef的顺序点亮也采用移位寄存器实现,段选与位选的输出口利用74374实现,74374的CLK由地址线与读写信号经逻辑运算获得。四、实验方案设计、实验方法1. 系统硬件接线系统中,片选信号CS0与8086的地址线A15相接,与8086的写信号WR、读信号RD经逻辑运算形成74374脉冲信号OUT_SEG与OUT_BIT信号,LED的段选口与位选口的地址分别为8004H、8002H。2. 软件流程图霓虹灯的软硬件实现方法有很多,本设计中主要采用汇编语言中最基本的移位操作指令、程序控制指令,加1指令、减1指令、DOS中断指令等实现跑马灯的控制,设计流程如下图,详细方案与方法略。开始写位选位结束?点亮相应段写段选位选数据移位选中LEDNYY循环初始化全结束?段选数据移位3. 实验方法根据所述原理,按照接线图设计系统,对照设计流程细化分析设计过程,编制汇编语言程序,而后编译并连接,生成可执行代码,利用MASM调试软件Debug或集成环境WAVE6000跟踪调试可执行程序,观察各变量、寄存器等内容,调试无误后,运行并测试程序,经指导教师同意后并检查后,带硬件联合调试。五、实验步骤1. 确定硬件方案,在教师指导下确定硬件方案,完成接线图。2. 在教师指导下,确定软件方案并绘制流程图。3. 代码编制 利用wave软件或WINDOWS的EDIT编辑器,创建源文件并编制汇编语言代码,存储为.asm文件。4. 设计项目的创建5. 设计编译采用汇编语言工具MASM或WAVE集成环境的编译菜单编译.6. 设计链接 .7. 设计软件调试 .8. 软硬件联合调试硬件接线经指导教师审查后,加电,完成联和调试.9. 系统测试 .六、调试中遇到的问题及解决的办法1. 系统调试调试窗口如下图采用WAVE集成工具调试,利用单步指令F8、跟踪指令F7、执行到断点指令CTRL+F9、断点设置指令CTRL+F8等调试软件,利用观察窗查看寄存器值等内容,考察程序执行状况与各段结果。在调试过程中出现了以下几个问题:2. 实验结果根据系统要求,对系统各个功能组成进行了全面的测试.从中可以得出,系统运转正常,功能达到设计要求,具有较好的可靠性与容错性。七、结论利用WAVE的8086汇编语言集成工具与汇编语言实现了霓虹灯的设计,并完成了硬件连线、汇编程序的设计编译、调试、测试等工作,结果表明采用所提出的设计方案与实现方法,能够达到设计目标,设计方案可行八、思考题通过上述电路霓虹灯还可以实现哪些供能的霓虹灯,试给出接线图与软件流程图?通过上述电路,还可以带数字的滚动霓虹灯,其基本原理.附件:程序清单BITS EQU 8002h ;BITS SELECTIONSEGS EQU 8004h ;SEGMENT SELECTIONDATA SEGMENT DB 20 DUP(?)DATA ENDSSTACK SEGMENT STACK DB 20 DUP(?)STACK ENDSCODE SEGMENTASSUME CS:CODE,DS:DATA,SS:STACKDELAY PROC NEAR PUSH AX PUSH CX MOV CX,0200H LOOP $ POP CX POP AX RETDELAY ENDPSTART: MOV AX,DATA MOV DS,AXREIN: MOV CX,00H MOV BL,01H ;BITS SELECTIONSGLDS: PUSH CX; SHL BL,1 MOV BH,01H ;SEGMENT SELECTION MOV CX,06H MOV AL,BL MOV DX,BITS OUT DX,ALDISP0: MOV AL,BH MOV DX,SEGS OUT DX,AL SHL BH,1 INC BH CALL DELAY LOOP DISP0 POP CX; INC CX CMP CX,06H JNZ SGLDS MOV CX,00H MOV BL,080H ;BITS SELECTIONSGLD1: PUSH CX; SHR BL,1 MOV BH,01H ;SEGMENT SELECTION MOV CX,06H MOV AL,BL MOV DX,BITS OUT DX,ALDISP1: MOV AL,BH MOV DX,SEGS OUT DX,AL SHL BH,1 INC BH CALL DELAY LOOP DISP1 POP CX; INC CX CMP CX,06H JNZ SGLD1 JMP REIN MOV AH,4CH INT 21HCODE ENDS END START
展开阅读全文
相关资源
相关搜索

当前位置:首页 > 管理文书 > 工作总结


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!