实验三基于QuartusII的流水灯设计仿真.ppt

上传人:tia****nde 文档编号:8837317 上传时间:2020-04-01 格式:PPT 页数:37 大小:1.72MB
返回 下载 相关 举报
实验三基于QuartusII的流水灯设计仿真.ppt_第1页
第1页 / 共37页
实验三基于QuartusII的流水灯设计仿真.ppt_第2页
第2页 / 共37页
实验三基于QuartusII的流水灯设计仿真.ppt_第3页
第3页 / 共37页
点击查看更多>>
资源描述
实验三 基于QuartusII原理图的流水灯设计及仿真 一 实验目的 掌握EDA集成工具软件QuartusII的使用 了解基于PLD的EDA设计流程 熟悉使用QuartusII原理图方式进行数字逻辑电路设计的方法 熟练掌握使用QuartusII对逻辑电路进行仿真 2 二 实验设备 计算机 3 三 实验原理 流水灯是一种效果灯光 它通过按固定的规律将LED点亮或熄灭上图给出了一种简单的流水灯状态变化示意图 用逻辑电路控制8个LED灯 始终保持7亮1暗 在脉冲信号CP的推动下循环流动 将灯亮用1表示 灯灭用0表示 1 二进制译码器结合计数器实现 使用八进制计数器产生74LS138地址端所需的8个地址信号 将计数输出Q0 Q1 Q2分别接入74LS138的A0 A1 A2 为计数器提供低频连续脉冲CP 即可在74LS138的8个输出端获得流水灯的连续状态输出 八进制计数器可以通过将3个JK或D触发器先组成T 触发器 然后再级联为异步计数器 2 移位寄存器构成顺序脉冲发生器实现 移位寄存器构成顺序脉冲发生器可用来控制LED灯按照设计的顺序节拍进行变化 从而实现流水效果 74LS194是双向4位移位寄存器 为了控制8个灯 可以将2片74LS194级联后构成8位顺序脉冲发生器 顺序脉冲发生器启动时需要通过置数功能先预置状态初值 然后再切换回移位状态 这需要对74LS194的M1 M0模式控制端使用开关进行模式切换 1 设计一个计数译码型流水灯的逻辑电路并完成仿真测试 电路采用8灯结构 8个灯按7亮1暗的规律循环流动 步骤要求如下 1 在QuartusII软件环境下 采用原理图方式 完成逻辑电路设计 2 启动全程编译 若存在严重的逻辑问题编译时会报错 修改直至电路无误 3 新建波形激励文件并配置测试端口 合理设置仿真时间长度及仿真时钟周期 4 启动仿真 仿真运行完毕后会生成报告波形 分析仿真波形的特征 印证设计目标 是否达到预期设计 2 设计一个移存型流水灯的逻辑电路并完成仿真测试 电路采用8灯结构 8个灯按1亮7暗的规律循环流动 设计的步骤要求同上 6 四 实验内容和要求 1 异步模八计数器设计 在QuartusII中画图 由JK T 构成异步模八计数器为74LS138产生连续变化的地址信号 f1为连续脉冲CP 为便于视觉观察 输入频率一般应为1 10Hz Q2 Q1 Q0分别接到74138的地址端A2 A1 A0 7 计数译码型流水灯参考设计 2 译码器应用 在QuartusII中进行图形设计 Q2 Q1 Q0接三个JK触发器的Q端输出 接收前端计数器输出的地址信号 Y0 Y1 Y7与实验板上的LED灯进行连接 在QuartusII下进行引脚锁定 观察流水现象 8 五 实验步骤 1 通过QuartusII建立一个新项目 2 建立项目时选Cyclone系列 family 的目标器件 devices EP1C3T144C83 新建图形设计文件 调用相关元件 设计总体电路原理图 并编译通过 4 新建波形矢量文件 添加引脚端口并编辑激励波形 保存该文件并执行时序仿真 观察并分析仿真结果 9 QuartusII软件操作流程 请同学们参照后面的步骤 提前做好预习 熟悉QuartusII软件的操作环境 QuartusII软件可到实验中心网站上下载 要注意它的破解步骤 10 一 准备 1 使用QuartusII软件之前 请确保软件已正常破解若启动QuartusII时看到如下注册许可界面 则说明软件尚未注册许可 需要进行认证后才能正常使用 开始菜单 运行中输入命令 cmd 打开dos命令窗 在命令窗中输入 ipconfig all 即列出本机物理地址physiccaladdress 用记事本打开本机D Altera目录下的License Dat文件 将其中的HostID替换为本机的物理地址即完成破解 替换时需注意不能插入空格并去掉符号 保存文件并关闭 重启Quartus 注册许可界面已消失 11 2 QuartusII6 0主界面操作环境 12 1 ProjectNavigator 工程管理器 2 Messagewindow 信息窗口 2 Statuswindow 状态窗口 3 常用工具栏 13 Toresetviews Tools Customize Toolbars ResetAllRestartQuartusII 编译报告 扩展控制按钮 若QuartusII界面上一些默认的按钮被关闭 影响使用 可按右边的操作步骤来复原 工程创建时的准备工作 QuartusII通过 工程 Project 来管理设计文件 必须为此工程创建一个放置与此工程相关的所有设计文件的文件夹 此文件夹名不宜用中文 也最好不要用数字 应放到磁盘上容易找到的地方 不要放在软件的安装目录中 建立完工程文件夹后再进行后续操作 14 二 在QuartusII6 0环境下建立工程 1 项目创建向导 15 工程文件名 任取 建立在用户自己的目录下 不要使用软件的安装目录或系统目录 选择文件的存放路径 顶层实体名 一般和工程名相同 文件菜单 基于已有项目创建工程 一般不使用 设置完毕后单击 Next 2 为创建的工程添加设计文件 16 添加用户的设计文件选中待添加的文件后点击 Add 若暂无文件 直接点击 Next 设置完毕后单击 Next 3 器件选择 17 选择FPGA器件型号 选择FPGA器件所属系列 实验开发板所使用的器件为ALtera公司Cyclone系列 Family 的EP1C3T144C8 Avaliabledevices 设置完毕后单击 Next 4 EDA工具设置 18 选择第三方EDA工具 如ModelSim Synplify等 这里不需要 都不打勾 该步骤可单击 Next 直接跳过 5 完成 19 工程创建完毕 在工程管理器界面出现所选用的器件系列 器件名及工程文件名 CycloneII 单击 Finish 完成工程创建 综上所述 创建工程时的几个步骤如下 1 指定工程所在的工作库文件夹 工程名及设计实体名 2 将设计文件加入工程中 若无设计文件直接跳过 3 选择仿真器和综合器类型 默认 None 为选择QuartusII自带的 4 选择目标芯片 开发板上的芯片类型 5 工具设置 默认为都不选择 可直接跳过 6 完成创建 工程建立后 若需要新增设计文件 可以通过菜单项Project Add Remove 在工程中添加新建立的设计文件 也可以删除不需要的设计文件 编译时将按此选项卡中列出的文件处理 20 21 三 在QuartusII6 0工程下建立设计文件 1 在File菜单下点击 New 即弹出新建文件窗口 QuartusII支持原理图输入 VHDL语言输入等多种设计输入方式 后面以原理图输入 BlockDiagram SchematicFile 为例介绍 选此后单击OK 2 建立原理图设计文件 22 原理图编辑区 绘图辅助工具 工程文件名 上图中 选择第二项 BlockDiagram SchematicFile 点击ok后即得如下界面 3 调用参数化元件 内置元件 在绘图区双击鼠标左键 即弹出添加元件的窗口 23 在此输入已知的元件名 可以快速地调出元件 端口并预览 点击 号可展开查看查看库中所有的元件 端口 分别输入 input 和 74138 时的预览窗口 24 输入74138 库里已有的元件会预览在这里 输入INPUT 库里已有的端口符号会预览在这里 单击OK 即可将预览的端口符号 元件放置在绘图区 绘图辅助工具栏介绍 25 1 画线及选择工具 2 文本工具 3 符号工具 点击后可调出前面添加元件的窗口 4 窗口缩放工具 5 窗口全屏显示 按 ESC 退出 注意 使用窗口缩放工具按钮后 请切换回画线及选择工具按钮 才能对绘图进行编辑 其余工具按钮不常用 这里不介绍 从符号库中调出74138 VCC GND INPUT OUTPUT等符号 端口 排放整齐 完成画线连接操作 鼠标放到端点处 会自动变为小十字形 按下左键拖动到目标处 释放后即完成本次画线操作 若要画折线 在转折处单击一次左键 继续拖动即可 为OUTPUT端口命名 双击该输出端口 在弹出的窗口中输入名称即可 26 网络标号 自定义的端口名 重复上述步骤 依次输入JKFF INPUT 按下图进行连接 完成分频器及计数器电路设计 使用网络标号关联2个原理图 27 如何添加网络标号 在需要添加网路标号的连线上单击右键 弹出的菜单上选择 Properties 后输入网络标号即可 网络标号用来实现将两根未连通的线进行 不画线 的连接 28 四 全程编译 在下拉菜单 Processing 中选择 StartCompilation 启动全程编译 编译完成后的信息报告窗口 关于全程编译 启动全程编译 选择Processing StartCompilation 自动完成分析 排错 综合 适配 汇编及时序分析的全过程 编译过程中 错误信息通过下方的信息栏指示 红色字体 双击错误信息 可以定位到错误所在处 改正后再次进行编译直至排除所有错误 编译成功后 会弹出编译报告 显示相关编译信息 29 工程编译完成后 设计结果是否满足设计要求 可以通过时序仿真来分析 时序仿真主要包含如下的设置步骤 打开波形编辑器 设置仿真时间区域 波形文件存盘 将端口节点信号选入波形编辑器中 编辑输入波形 输入激励信号 总线数据格式设置启动仿真器观察仿真结果 波形编辑文件及产生的波形报告文件分开显示 若无法观察完整波形 可以使用热键Ctrl W 即可看到完整的仿真波形 也可使用鼠标左右键 方法如下 30 顺序并不是唯一的 五 时序仿真 1 建立波形矢量文件 左图 2 添加引脚节点 31 添加引脚节点 续 32 在Filter下选择 Pins unassigned 再单击 List 列出引脚端口 在NodesFound下方的列表下选择所列出的端口 将其拖放到波形文件的引脚编辑区 3 设置仿真时间长度 33 默认为1us 这里将其设置为100us 4 设置仿真时间周期 34 默认为10ns 由于竞争冒险的存在 在仿真时信号波形和大量毛刺混叠在一起 影响仿真结果因此 这里设置为500ns 5 编辑输入端口信号 35 窗口缩放 左键放大 右键缩小 已编辑好的时钟波形 选中CP后 点击此符号 直接编辑周期脉冲信号 6 启动时序仿真 36 分析波形可见 与74LS138功能真值表一致 结果正确 低电平看做灯灭 高电平看做灯亮 窄尖峰为冒险引起 不影响逻辑功能 完成上一个仿真后 请自行设计移存型流水灯 并进行仿真验证 希望大家通过本次实验 上手并熟练掌握QuartusII的使用
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!