HDB3数字编码器毕业设计论文.doc

上传人:红** 文档编号:5912357 上传时间:2020-02-11 格式:DOC 页数:88 大小:2.33MB
返回 下载 相关 举报
HDB3数字编码器毕业设计论文.doc_第1页
第1页 / 共88页
HDB3数字编码器毕业设计论文.doc_第2页
第2页 / 共88页
HDB3数字编码器毕业设计论文.doc_第3页
第3页 / 共88页
点击查看更多>>
资源描述
目录设计总说明IIntroductionIII1 绪论11.1 引言11.2 课题研究背景11.3 本研究的主要内容11.4 本研究的目的意义21.5 论文组织22 EDA概述32.1 VHDL硬件描述语言32.1.1VHDL语言的发展32.1.2VHDL硬件描述语言的特点32.2 Altera公司Quartus介绍42.2.1Quartus简介42.2.2Quartus软件的设计流程42.2.3Quartus软件的使用53 编码技术123.1 通信系统123.2 编码123.2.1信源编码123.2.2信道编码123.3.选择码型和常用码型133.3.1选择码型的考虑133.3.2常用码型及其特点133.4 HDB3码简介143.4.1HDB3码编码规则154 基带信号的编码建模与设计164.1 HDB3编码器的VHDL建模与程序设计(1)164.1.1HDB3的VHDL建模思想164.1.2基于VHDL硬件描述语言的建模及程序设计164.1.3编码器模块的总程序244.1.4编码器模块的时序仿真284.2 HDB3编码器的VHDL建模与程序设计(2)294.2.1设计模型294.2.2HDB3编码整体模块的总程序及波形仿真375 数字复接技术及其建模与设计445.1引言445.2 数字复接原理445.2.1数字复接的基本概念445.2.2数字复接的方法及方式455.3同步复接器的VHDL建模和设计495.3.1以四路同步复接器为例的原理框图模型495.3.2四路同步复接器的VHDL建模515.4同步复接器的VHDL总程序635.4.1四路同步复接器系统的模块链接程序636 HDB3编码器的硬件测试676.1产品简介676.1.1应用范围及特点676.1.2系统结构及规格676.2硬件说明686.2.1输入单元686.2.2输出单元706.2.3编程硬件驱动安装716.3配置文件下载726.3.1打开编辑器窗口和配置文件726.3.2设置编程器726.3.3使用JTAG下载口737 结 论75参考文献76致谢77华北科技学院毕业设计(论文)HDB3数字编码器的设计设计总说明:随着社会生产力的发展,各种电子新产品的开发速度越来越快。现代计算机技术和微电子技术的进一步发展和结合使得集成电路的设计出现了两个分支。一个是传统的更高集成度的集成电路的进一步研究;另一个是利用高层次VHDL/Verilog HDL等硬件描述语言对新型器件CPLD/FPGA进行专门设计,使之成为专用集成电路。EDA技术以EDA软件工具为开发环境,采用硬件描述语言(HDL),以可编程逻辑器件为实验载体,实现源代码编程和仿真功能。VHDL作为一种标准化的硬件描述语言主要用于描述数字系统的结构、行为、功能和接口。与其它的HDL相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。就目前流行的EDA工具和VHDL综合器而言,将基于抽象行为描述风格的VHDL程序综合为具体的FPGA和CPLD等目标器件的网表文件己不成问题。VHDL和可编程逻辑器件的结合作为一种强有力的设计方式,将为设计者的产品上市带来创纪录的速度。现代通信技术的发展随着VHDL等设计语言的发展也进入了一个新的阶段。长期以来,人类进行信息交互的基本方式不外乎语言、文字和图像。随着数字技术的发展,三大信息网:电话、电视、和因特网在数字通信的平台上融为一体的趋势日益加速。一方面是通信技术一日千里的发展,技术更新的周期越来越短;另一方面是人们对信息数量和质量的需求不断增长,如何更加有效、更加可靠、更加安全的传输信息,成为人们非常关注的问题。数字通信具有许多优良的特性,数字处理的灵活性使得数字传输系统中传输的数字信息既可以来自计算机,电传机等数据终端的各种数字代码,也可以是来自模拟信号经过数字化处理后的脉冲编码(PCM)信号等。在原理上,数字信息可以直接用数字代码序列表示和传输,但是在实际的传输中,视系统的要求和信道的情况,一般需要进行不同形式的编码,并且选用一组取值有限的离散波形表示,这些取值离散的波形可以是数字带通信号,也可以是数字基带信号。数字基带信号的传输是数字通信系统的重要组成部分之一。在数字通信中,一般由信源发出的数字基带信号含有丰富的低频分量,甚至直流分量,这些信号往往不宜直接用于传输,易产生码间干扰进而直接影响传输的可靠性,为了使基带信号能适合在基带信道中传输,通常需要经过基带信号变换。而为了用更短的代码表达同样多的信息,人们提出了压缩代码长度地方法,并发明了多种压缩方法和实施方案,统称为信源编码。为了及时发现并纠正信息传输中出现的错误,人们采用了各种检错和纠错技术,由此发展起来了信道编码技术,它使通信更加可靠。在编码过程中,不同码型有不同的特点和不同的用途。例如AMI码的传号交替反转,这种码型无直流成分和很小的低频成分,利于在一般的基带信道中传输,但它可能出现四连零现象,不利于接收端的定时信号提取。为了保持AMI码的优点而克服其缺点提出了HDB3码(三阶高密度双极性码)。HDB3码具有编码规则简单,无直流,低频成份少,可打破长连“0”和对定时信号的恢复十分有利等优点,因此被CCITT 协会推荐为常用的基带传输码型之一。综合上述情况,本文提出了基于VHDL语言的HDB3编码器的设计方案。本论文概括了HDB3数字编码器的研究背景、意义,同时对EDA技术和编码技术作了简要的说明。设计方面包括规划基于VHDL的HDB3编码器设计的总体方案,合理利用各种软硬件资源;基于VHDL的HDB3编码器的软件实现。其中HDB3码的编码程序设计是在Quartus软件环境下进行的,首先在Quartus软件环境下建立一个工程,工程名和程序的实体名一致,并将其作为该工程的设计文件。然后在VHDL文本编辑窗中输入设计的VHDL源程序,进行编译。程序编译成功后要进行时序仿真,这一部分同样是在Quartus软件环境下完成的,因为实际电路总是存在一定的时钟毛刺,即会产生不可避免的时延,所以仿真图有时会产生失真; 经过编码的基带信号,为了扩大传输容量和提高传输速率在本次设计中又简要的介绍了数字复接技术,设计了数字复接器。在论文的最后,为了保证该设计的可靠性,需要对HDB3数字编码器进行硬件测试,在QuartusII软件中完成HDB3码的编码、仿真等步骤后,通过下载电缆将程序下载到特定的FPGA芯片上,用逻辑分析仪进行分析,验证该研究的可行性。以上是本人对这次设计的简要说明,从设计背景、意义、内容等方面作了简要的介绍。具体说明请见论文正文。关键词:VHDL;QuartusII;HDB3编码;数字复接The Design of HDB3 Digital EncoderIntroduction:With the development of social productive forces, a variety of electronic development of new products is becoming more faster.The further development and combination of modern computer and microelectronics technology make the design of integrated circuits produces two branches. One is the further study about the traditional and higher integrated circuit; another is the use of high-level VHDL / Verilog HDL hardware description language such as new types of devices CPLD / FPGA to carry out specially designed to make it becomes specific integrated circuitEDA technology take the EDA software as tools for the development of the environment,the use of hardware description language (HDL),programmable logic devices in experimental carrier,the realization of the source code programming and simulation.The VHDL as a standardized hardware description language used to describe the structure of digital systems,behavior, function and interface.Compared with other HDL,VHDL has a stronger ability to describe the behavior,thus it was determined to be the best hardware description language in the areas of system designation .On the current popular EDA tools and VHDL synthesizer.Behavior will be described in an abstract style based on the VHDL program integrated into specific goals,such as FPGA and CPLD device netlist file is not a problem.VHDL and programmable logic device as a powerful combination of design,designers will bring to market a record paceThe development of modern communication technologies with the VHDL language development has entered a new stage.Long period of time,humans a basic form of information exchange only language, text and images.With the development of digital technology,the three major information networks:telephone,television,and Internet communications.In the digital communications platform to accelerate the growing trend of integration.On the one hand,the rapid development of communication technologies, technology update cycle becoming shorter and shorter;on the other hand,is the quantity and quality of information demand is growing,how more effective,more reliable,more secure transmission of information,,become a great concern to usDigital communication with many excellent features, the flexibility of digital processing system enables digital transmission of digital information transmission can be from the computer,facsimile and other data terminal of a variety of digital code,as well as from the analog signal through digital processing after pulse code (PCM) signalsIn principle,the digital information can be directly expressed by the number of code sequences and transmission,but in the actual transmission,depending on system requirements and channel situation,the general need for different forms of encoding,and a limited selection of a set of discrete values of wave that these values can be a discrete number of waveforms with signal may also be a digital base-band signalDigital baseband signal transmission is one of an important part of the digital communication system.In digital communications, the general sent by the source of the digital base-band signal is rich in low-frequency component,or even DC,these signals are often not used directly for transmission,easy to inter-symbol interference and thus a direct impact on the reliability of transmission,in order to make the base signals to baseband channel suitable for transmission,it usually takes transform base-band signal.In order to use a shorter code to express the same amount of information,it is proposed to approach the length of compression codes and the invention of a variety of compression methods and the implementation of programs,collectively referred to as source coding.In order to timely detect and correct the information transmission in error,that people used a variety of error detection and error correction technology,which developed channel coding technology,it makes communication more reliableIn the encoding process,the different code types have different characteristics and different uses.For example,Communication AMI code reverse turn,and this base-band signal without DC component and a small low-frequency components,which will help in the general channel of the base-band transmission, but it may occur four zero phenomenon is not conducive to the receiving end of the regular signal extraction.HDB3 code (third-order high-density bipolar code) with the coding rules by simple,non-DC,less low-frequency components can be connected to break the long 0 and the timing signal is very beneficial to the recovery of the advantages of the original by ITU-T Recommendation CCITT Association the use of the base-band transmission-type, one codeTaking these factors together,this paper raised the HDB3 encoder design based on VHDL languageThe study including the planning of the HDB3 code based on the VHDL design of the overall program,the rational use of a variety of hardware and software resources;Based on the VHDL language code HDB3 encoding software,which HDB3 coding programming code in Quartus software environment,first,Quartus software environment to build a project, through the use of VHDL coding language to complete the design process,and as the project design document.After completion of the circuit design,it is necessary to carry out time-series simulation.This part of the same software environment in the Quartus complete,because the actual circuit of the clock there is always some glitches that will delay the inevitable,so simulation sometimes distortion map;after the base-band signal coding,in order to expand transmission capacity and improve the transfer rate also in this design brief introduction of digital multiplexing technology,the design of a digital multiplexer.In the design of the final, in order to ensure the reliability of the design will be based on the VHDL language in the integrated design environment to complete QuartusII software code HDB3 coding, simulation and other steps,through the download cable to download to a specific FPGA chip with logic analyzer simulation,analysis of the study the feasibility and reliability.Above is a brief description of the design, from design background,meaning,content, etc.Specific content,please refer to the text .Keywords:VHDL;HDB3 Encoder;Quartus;Digital multiplexerIIIHDB3数字编码器的设计1 绪论1.1 引言信号在信道的传输过程中,如何才能保证信号失真最小且可靠的传输是本次课程设计研究的目标。一个完整的通讯系统,当信号经过一定距离的传送后,总会受到干扰、移相和衰减,因而需要对数字信号进行再生。从信源输出的信号一般是0, 1两种状态的单极性NRZ码,在进行数字信号传输时,考虑到传输信道的特点,将信息比特变换为适合于在信道中传输的数字信号,即进行线路编码。传统的基带信号含有丰富的直流和低频分量,这些信号不适宜在信道中传输。为了使得终端机编码输出的由0和1组成的单极性数码流适应于传输信道的特性,还必须经过码型变换,即对信号进行编码。选择编码码型时一般应考虑几方面的因素,比如从线路码流中容易提取时钟,码型中不宜含有直流分量,高、低频成分应尽量减少,设备应简单,易于实现码型变换和码型反变换的调试等。基于此,人们考虑是否有一种更好的方法来弥补这一不足之处。随着EDA技术的不断发展,VHDL作为一种标准化的硬件描述语言,在电子工程领域已成为广泛使用的通用语言和EDA领域的仿真测试、程序模块的移植、ASIC设计源程序的交付、IP盒的应用方面担任着不可或缺的角色,因此成为了必要的设计开发工具。1.2 课题研究背景随着数字通信的迅速发展,线路编码己越来越被人们重视,人们先后提出了各种适合线路传输的码型,如CMI码,MILLER码,AMI码,HDB3码等。传输码型是研究数字通信传输系统的一项重要课题,正确选择传输码型不但能改善传输性能,提高通信质量,而且能延长中继距离,使中继器结构简单,从而获得显著的经济效益。目前,线路编码广泛地用于数字移动通信、数字微波通信和数字光纤通信系统中,是数字通信系统中不可缺少的部分。而在编码过程中ITU-T原CCITT G703建议中规定2M8M34M的数字接口码型均采用HDB3码。1.3 本研究的主要内容本设计主要是基于VHDL语言,选用一种合适的码型,完成基带信号在信道中传输。在设计中所选用的码型是HDB3码,HDB3码具有无直流、低频成份少、频带较窄、可打破长连0和提取同步方便等特点。鉴于HDB3码的明显优点,PCM系统各次群常采用其做接口码型标准。本研究主要内容包括以下几方面:(1) HDB3码的VHDL建模思想 规划基于VHDL的HDB3编码器设计的总体方案,合理利用各种软硬件资源。(2) 基于VHDL硬件描述语言的建模及程序设计。介绍HDB3编码器的各部分模块的设计流程、程序编译及仿真。(3) 经过编码的基带信号,为了扩大传输容量和提高传输速率在论文设计的最后部分提出了数字复接技术,设计了数字复接器。1.4 本研究的目的意义本文是针对基带信号在传输过程中所做的研究,提出了在一片FPGA芯片上实现基于VHDL语言的HDB3编码器设计的构思,并通过研究设计,为信号在不同环境下的传输构建了一个新的通用编码平台。1.5 论文组织本文主要从论文的总体设计、基于VHDL的编码器的设计几部分进行论述,具体章节安排介绍如下:第二部分 EDA概述,主要介绍了VHDL语言的发展及特点,Quartus软件的设计流程及使用。第三部分 对本文的理论基础编码技术作了简单论述,其中包括通信系统、编码问题及分类、两种基本的编码理论等。第四部分 是该设计的核心部分,详细地介绍了两种基于VHDL语言的HDB3编码器的设计流程,设计原理,时序仿真等。第五部分 介绍了在Quartus环境中实现对数字复接器的设计。第六部分 实现对HDB3数字编码器的硬件测试。第七部分 是完结篇,对所做设计的各部分工作、研究结果分别作了分析总结,提出了该设计的改进方向。2 EDA概述2.1 VHDL硬件描述语言2.1.1 VHDL语言的发展EDA技术是现代电子设计技术的核心。它以EDA软件工具为开发环境,采用硬件描述语言(HDL),以可编程器件为实验载体,以实现源代码编程,自动逻辑编译,逻辑简化,逻辑综合,布局布线,逻辑优化和仿真功能等,并以ASIC,SOC芯片为目标器件,是以电子系统设计为应用方向的电子产品自动化的设计技术。现代计算机技术和微电子技术进一步发展和结合使得集成电路的设计出现了两个分支。一个是传统的更高集成度的集成电路的进一步研究;另一个是利用高层次VHDL/VerilogHDL等硬件描述语言对新型器件FPGA/CPLD进行专门设计,使之成为专用集成电路(ASIC),这不仅大大节省了设计和制造的时间,而且对设计者,不必考虑集成电路制造工艺,现已成为系统及产品设计的一项新技术1。在HDL(硬件描述语言)形成发展之前,已经有了许多程序语言,如汇编、C等。这些语言运行在不同的硬件平台和操作环境中,它们适合于描述过程和算法,不适合做硬件描述。任何一种EDA工具,都需要一种硬件描述语言来作为其工作语言。这些众多的EDA工具软件开发者,各自推出了自己的HDL。此后,便出现了标准的VHDL语言。VHDL是“Very high speed integrated circuit Hardware Description Language”的缩写,即“甚高速集成电路硬件描述语言”。最初由美国国防部和Intermetrics、IBM、TI公司联合开发,1987年成为IEEE标准,即IEEEl076标准(俗称87版VHDL)。此后,美国国防部要求官方的与高速集成电路设计相关的所有文档必须用VHDL描述,因此VHDL在电子设计领域得到了广泛的应用,渐渐成为工业界的标准。1993年,IEEE对VHDL进行了修订,公布了新的VHDL标准,即IEEEl076(俗称93版VHDL)。2.1.2 VHDL硬件描述语言的特点当电路系统采用VHDL语言设计硬件时,具有如下的特点:(1)采用自上而下的设计方法即从系统总体要求出发,自上而下的逐步将设计的内容细化,最后完成系统硬件的整体设计。在设计的过程中,对系统自上而下分成“行为描述”,“RTL方式描述”,“逻辑综合”三个层次进行设计。(2)系统可大量采用PLD芯片由于目前众多制造PLD芯片的厂家,其工具软件均支持VHDL的编程,所以利用VHDL设计数字系统时,可以根据硬件电路的设计需要,自行利用PLD设计采用的ASIC芯片,而无需受通用元器件的限制。(3)采用系统早期仿真从自上而下的设计过程中可以看到,在系统设计过程中要进行三级仿真,即行为层次仿真、RTL层次仿真和门级层次仿真。这三级仿真贯穿着系统设计的全过程,从而可以在系统设计的早期发现设计中存在的问题。(4)降低了硬件电路设计难度在传统的设计方法中,往往要求设计者在设计电路之前写出该电路的逻辑表达式或真值表,这一工作是相当困难和繁杂的。而利用VHDL设计硬件电路时,设计者不必编写逻辑表达式或真值表,从而大大降低了设计的难度。(5)主要设计文件是用VHDL编写的源程序使用VHDL源程序有许多好处:其一是资料量小,便于保存;其二是可继承性好,当设计其它硬件电路时,可使用文件中的某些库、进程和过程等某些局部硬件电路的程序;其三是阅读方便,阅读程序比阅读电路原理图更容易些,阅读者很容易在程序中看出某一电路的工作原理和逻辑关系5。2.2 Altera公司Quartus介绍2.2.1 Quartus简介Quartus是Altera公司新一代的EDA设计工具,由该公司早先的MAX+PLUS演变而来。该设计软件是Altera提供的完整的多平台设计环境,能够直接满足特定设计需要,为可编程芯片系统(SOPC)提供全面的设计环境。Quartus软件含有FPGA/CPLD设计所有阶段的解决方案。2.2.2 Quartus软件的设计流程作为一个以VHDL为语言的设计软件在进行具体设计时要遵循一定的设计步骤,下图描述了使用 Quartus 基本设计流程。这是一个完整的设计流程,在实际的设计过程中,根据需要其中的一些步骤可以进行简化。 Quartus 设计过程如图 2-1 所示。图2-1 Quartus 进行设计的流程图2.2.3 Quartus软件的使用(1)编辑设计文件(以HDB3设计为例) 建立工程库目录文件夹D:/quartus/quartus/hdb3/。 输入源程序。打开Quartus,选择File/New命令。在New窗口中的Device Design Files中选择VHDL Files,如图所示:图2-2 新建输入文件对话框然后在VHDL文本编辑窗中输入设计程序的VHDL源程序,如图所示:图2-3 VHDL文本编辑窗文件存盘。选择File/Save As命令,找到已建立的文件夹D:/quartus/quartus/hdb3/,文件名与实体名一致。 建立工程文件夹。选择File/New Project Wizard命令,单击Next ,在最上一栏的右侧选择已存盘的文件“hdb3.VHD”,出现如图所示的情况:图2-4 建立新工程对话框 选择目标芯片。单击Next,再单击Next,这时弹出选择目标芯片的对话框,首先在Family栏选择Cyclone,在Package栏选择Any,在Pin count选择240,在Speed grade选择8,接着在Avaliable devices选择EP1C6Q240C8,如图所示:图2-5 目标芯片选择对话框 两次单击Next,弹出“工程设置统计”对话框,如图所示:图2-6 工程设置统计对话框结束设置。最后单击Finish,此时已设定好工程。(2)编译综合上面所有工作做好后,执行Processing菜单的Start Compilation选项,启动全程编译。编译成功后的工程管理窗口如图所示:图2-7 编译成功后的工程管理窗口(3)仿真测试建立仿真测试波形选择Quartus主窗口的File菜单的New选项,在弹出的文件类型编辑对话框中,选择Other Files中的Vector Weaveform File项,单击OK按钮,出现如图所示的波形文件编辑窗口。图2-8 波形文件编辑窗口设置仿真时间区域在设计中要设置仿真时间、时间轴周期,其设置步骤是在Edit菜单中选择End Time,在弹出窗口中Time处填入仿真时间,单位一般选择us,同理在Gride Size中Time period输入周期,单击OK按钮,设置结束。输入工程“文件名”的信号节点。选择View菜单中的Utility Windows项的Node Finder,在对话框Filter项中选择Pins:All,然后单击List按钮,于是在下方的Nodes Found窗口中出现设计中的hdb3工程的所有端口的引脚名。用鼠标将输入节点拖到波形编辑窗口,如图所示:图2-9 信号节点图单击OK则进入波形编辑器输入信号端口,如图所示:图2-10 波形编辑器输入信号窗口设计输入信号波形输入信号波形如图所示:图2-11 输入波形输出波形仿真选择File中的Save as项,将波形文件以默认名hdb3.vwf存盘,接着点击Processing/Start Simulation,直到出现Simulation was successful,仿真结束。仿真波形如图所示:图2-12 输出波形仿真波形输出后在Quartus软件环境中的工作基本结束,接下来就是对仿真图形进行分析,验证是否与理论上的输出波形一致。3.编码技术3.1 通信系统编码技术源于对通信系统的研究,又服务于通信系统。通信系统模型如下。图3-1 通信系统的基本模型这个通信系统实际包含了信息的传输与存储两大功能。从信源发出消息,经过编码器将消息变换成适合于传输或存储的信号形式,可靠而有效的送入信道。译码器接收信道输出的信号并将信号反变换成原来的消息送到称为信宿的信号接收器。3.2 编码在有线、无线电通信产生的同时,编码技术随之产生,早期的编码有莫尔斯(Morse)码和波多(Bodo)码等,它们把文字通过点、划、空等信号给以表达,这些虽很原始,但它们实现了从文字到通信信号的转变。在通信过程中,信息一般都不能直接传送,而是要通过一定形式的电磁信号来传送,由消息变成信号的运算称为编码。编码实质上是对信源的原始符号按一定的数学规则进行的一种变换。编码分为信源编码和信道编码。3.2.1 信源编码 信源编码是针对信源统计特性进行编码,主要任务就是减少冗余度,提高编码效率。由于信源符号之间存在分布不均匀和相关性,使得信源存在冗余度,信源编码的基本途径有两个:一是使序列中的各个符号尽可能的互相独立,即解除相关性;二是使编码中各个符号出现的概率尽可能相等,即概率均匀化。3.2.2 信道编码信道编码主要是针对信道统计特性进行编码,主要目的是提高信息传输的可靠性。由于实际信道存在噪声和干扰,使得经信道传输后与所接收的码字之间存在差异,称为差错。通常,信道噪声和干扰越大,码字产生差错的概率也就越大。信道编码的任务就是通过在选择发射的数据中引入冗余,防止数据出现差错7。3.3 选择码型和常用码型 3.3.1 选择码型的考虑 发送信号设计中主要的问题是确定信号的线路编码类型,基本考虑以下几点:(1)对直流或低频受限信道,线路编码应不含直流分量; (2)码型变换应保证透明传输,唯一可译,同时可使两端用户方便发送并正确接收原编码序列,而无觉察中间环节的形式转换,即码型选择仅是传输的中间过程;(3)便于从接收码流中提取定时信号;(4)所选码型以及形成波形,应有较大能量,以提高自身抗噪声及干扰的能力;(5)码型具有一定检错能力,能减少误码扩散;(6)功率谱主瓣窄,且滚降衰减速度快,以节省传输带宽,减少码间干扰2。 3.3.2 常用码型及其特点 基带信号在信道中传输,需编成适合于传输用的码型,作为传输用的基带信号归纳起来有如下几点主要要求:将原始信息符号编制成适合于传输用的码型;对所选码型的电波形,需适宜于在信道中传输。可进行基带传输的码型较多,这里主要介绍几种常用的码型。(1) 交替传号极性码(AMI)AMI码全称是传号交替反转码,又称双极性方式码,属于单极性码的变型,编码规则是:消息码的1交替的变换为+1和-1,0保持不变。优点:无直流,零频附近的低频分量少;有一定检错能力,当发生1位误码时,可按AMI规则发现错误,以ARQ纠错;接收后只要全波整流,则变为单极性码,如果它是AMI-RZ型,可直接提取同步。缺点:码流中当连 0过多时,同步不易提取。举例如下:消息代码:0 1 11 0 0 1 0 AMI码 :0 +1 1 +1 0 0 -1 0 或 0 -1 +1 1 0 0 +1 0 上例用波形表示如图3-2所示,(a)为单极性非归零码,(b)和(c)为AMI码。(2) 双相码 (曼彻斯特码一Manchester)它用一个周期的正负对称方波表示“0”,而用其反向波形表示“1”。其编码关系如表3-1所示。数字双相码的波形举例如图3-3所示,(a)为单极性非归零码,图(b),(c)所示为数字双相码。表3-1 数字双相码的编码关系代码01双相码0110(3) 传号反转码(CMI)CMI码称为传号反转码,是一种二电平码。其编码规则是:“1”码交替地用“11”和“00”表示;“0”码用“01”表示。编码举例如表3-2所示。表3-2 CMI编码举例代码1101001CMI码11000111010100CMI码在光纤传输中作为线路传输码。波形举例如图3-4所示,(a)单极性非归零码(b)(c)为CMI码。图3-2 AMI码波形示意图 图3-3 双相码波形示意图 图3-4 CMI码波形示意图(4) 三阶高密度双极性码(HDB3)这种码型在数字通信中用得很多,是本次设计将要介绍的重点,在下节主要介绍。3.4 HDB3码简介HDB3码(三阶高密度双极性码,three step high density bipolar codes)是AMI码的改进型,HDB3中“3阶”的含义是,限制连0数不超过3位。为减少连0数,有的做法采取“扰码”,按一定规则将多个连0分散,尽量使码序列随机化。有效的办法是采用HDB_(n=1, 2, 3),一般多使用n=3,它克服了AMI码长连0串现象,并具有以下特点: 基带信号无直流成分,且只有很少的低频成分;连0串符号最多只有3个,利于定时信息的提取;不受信源统计特性的影响。3.4.1 HDB3码编码规则首先将消息代码变换成AMI码;然后检查AMI码中的连0情况,当无4个或4个以上的连0串时,则保持AMI的形式不变;若出现4个或4个以上连0串时,则将1后的第4个0变为与前一非0符号(+1或-1)同极性的符号,用V表示(+1记为+V,-1记为-V);最后检查相邻V符号间的非0符号的个数是否为偶数,若为偶数,则再将当前的V符号的前一非0符号后的第1个0变为+B或-B符号,且B的极性与前一非0符号的极性相反,并使后面的非0符号从V符号开始再交替变化1。举例如表3-3所示。表3-3 HDB3码的编码举例代码110011001111000000000011110000000011HDB3码1+100-100+1-1000000-100+1-1+10000+1-1V,B-V+B+V表3-3的HDB3编码举例用波形表示如图3-5所示。图中,(a)表示单极性非归零码,(b)和(c)表示HDB3码。图3-5 HDB3编码举例用波形4.基带信号的编码建模与设计4.1 HDB3编码器的VHDL建模与程序设计(1)4.1.1 HDB3的VHDL建模思想从编码规则来分析,这个设计的难点之一是:如何判决是否应该插“B”, 因为这涉及到由现在事件的状态决定过去事件状态的问题。按照实时信号处理的理论,这是没有办法实现的。但是在实际的电路中,可以考虑用寄存器的方法,首先把信码寄存在寄存器里,同时设置一个计数器计数两个“V”之间”1”的个数,经过4个码元时间后,由一个判偶电路来给寄存器发送是否插”B”的判决符号,从而实现插“B”功能。不过,信号处理的顺序不能像编码规则那样:首先把代码串变换成为AMI码完成插“V”及插“B”工作之后,其后的“+1”“-1”的极性还要依据编码规则进行变换,这样做需要大量的寄存器,同时电路结构也变得复杂。若是把信号处理的顺序变换一下:首先完成插“V”工作,接着执行插“B”功能,最后实现单极性变双极性的信号输出。这样做的好处是:输入进来的信号和插“V”、插“B”功能电路中处理的信号都是单极性信号,且需要的寄存器数目要少的很多1。另外一个难点是:如何准确识别电路中的“1”、“V”和“B”。因为“V”和“B”符号是人为标识的符号,但在电路中最终的表现形式还是逻辑电平“1”。解决的方法是利用了双相码,将其用二进制代码分别表示。双相码的编码规则:它是对每个二进制代码分别利用具有两个不同相位的二进制代码去取代。例如:代 码: 1 1 0 0 1 0双相码: 10 10 01 01 10 01这样就可以识别电路中的“1”、“V”、“B”。也可以人为的加入一个标识符,控制一个选择开关,使输出“1”的极性能按照编码规则进行变换。4.1.2 基于VHDL硬件描述语言的建模及程序设计 本设计的思想并不像前面HDB3编码原理介绍的那样首先把消息代码变换成为AMI码,然后进行V符号和B符号的变换,而是在消息代码的基础上,依据HDB3编码规则进行插入“V”符号和插入“B”符号的操作,最后完成单极性信号变成双极性信号的转换。 HDB3编码器模型框图如图4-1所示。 图4-1 HDB3码的编码器模型框图整个HDB3编码器包含三个功能部分:插“V”、插“B”和单极性码转变成双极性码。各部分之间采用同步时钟作用,并且带有一个异步的复位(清零)端口。接下来,详细介绍各个部分的设计流程、编辑的源程序及用软件模拟仿真的波形图。(1) 插“V”模块的实现 插“V”模块的建模 插“V”模块的功能实际上就是对消息代码里的四连0串的检测,即当出现四个连0串的时候,把第四个“0”变换成为符号“V”(“V”可以是逻辑“1”高电平),而在其他情况下,则保持消息代码的原样输出。同时为了减少后面工作的麻烦,在进行插“V”时,用“11”标识之,“1”用“01”标识,“0”用“00”标识。图4-2所示为插“V”符号的流程图。图4-2 插“v”流程图 插“V”模块的程序设计以下程序是依据上述流程图,完成HDB3编码的程序中实现插“V”功能的部分程序。beginadd_v:process(clk,clr) - - 插Vbeginif(rising_edge(clk) thenif(clr=1) thencodeoutv=00;count0codeoutv=01; - - 01代表1 count0 if(count0=3) then - - 四连0插Vcodeoutv=11;count0=0;elsecount0=count0+1;codeoutvcodeoutv=00;count0=count0;end case;end if;end if;end process add_v;这个程序设计了一个计数器(count0 ),用来作为应插“V”符号的标志。在进程(process)中,通过条件控制开关(case语句)完成插“V”功能。这里使用了一个技巧来实现流程的控制,即在选择语句(case语句)中嵌套了条件判断语句(if语句)来控制双重条件判断的执行结果。假设输入一串代码,根据设计思想,输入代码与插入“V”符号之后的关系如下:代 码:1 000 01 000011000011插V后:01 00 00 00 11 01 00 00 00 11 01 01 00 00 00 11 01 01(2) 插“B”模块的实现 建模 插“B”模块的功能是保证附加“V”符号后的序列不破坏“极性交替反转”造成的无直流特性,即当相邻“V”符号之间有偶数个非0符号的时候,把后一小段的第1个“0”变换成一个非破坏符号“B”符号。图4-3所示为实现插“B”功能的流程图。 图4-3 插“B”符号流程图图中:“11”表示符号“V”,“01”表示“1”码,“00”表示“0”码,“10”表示符号“B”。图4-3中插“B”模块是这个设计遇到的第一个难点,因为它涉及到一个由现在事件的状态决定过去事件状态的问题。其次还有如何确定是“1”,还是“V”的问题。从下列功能程序中可以分析如何解决这一难题。 程序设计- - - - - - - -实现编码中插“B”功能的程序部分s0(0)=codeoutv(0);s1(0)=codeoutv(1);ds11:dff port map (s1(0),clk,s1(1);例化ds01:dff port map (s0(0),clk,s0(1);例化ds12:dff port map (s1(1),clk,s1(2);例化ds02:dff port map (s0(1),clk,s0(2);例化ds13:dff p
展开阅读全文
相关资源
相关搜索

当前位置:首页 > 图纸专区 > 幼儿教育


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!