北京化工大学《DSP原理与应用》期末考试考点答案整理与汇总.docx

上传人:s****u 文档编号:12751958 上传时间:2020-05-22 格式:DOCX 页数:33 大小:370.48KB
返回 下载 相关 举报
北京化工大学《DSP原理与应用》期末考试考点答案整理与汇总.docx_第1页
第1页 / 共33页
北京化工大学《DSP原理与应用》期末考试考点答案整理与汇总.docx_第2页
第2页 / 共33页
北京化工大学《DSP原理与应用》期末考试考点答案整理与汇总.docx_第3页
第3页 / 共33页
点击查看更多>>
资源描述
目录一填空部分31、I2C,EMIF,I2S,AIC23概念32、EDMA的原理和结构33、TMS320F2812 原理结构34、解释C6000系列的结构(C6416,C6713,DM6437,DM6447, DM6467)35、OMAP(3530) 原理和结构36、DSP 系统开发环境 emulator和simulator的含义与区别37、JTAG接口的原理结构和应用38、看门狗原理39、事件管理器原理310、DSP实验操作(实验指导书P4)311、MCBSP312、HPI313、SCI,和SPI的原理和特点3二问答部分34、2812中断系统原理35、如何将dsp应用于开发节能减排绿色环保安全可靠的电子产品36、2812cpu内部各组成部分的功能。2812dsp 总线结构特点。37、2812dsp 低功耗模式,各特点38、2812flash存储器的结构特点39、汇编语言指令寻址方式:立即直接间接310、2812汇编语言程序设计的过程及在此过程中汇编器和链接器的功能311、源程序的编辑汇编和链接过程,编译器特点,c优化编译器的功能。2812汇编语言程序设计中目标文件和其输出文件的格式312、2812汇编语言程序设计中链接器命令文件的功能及格式。313、2812链接器指令MEMORY和SECTIONS的功能。比较伪指令.data和.bss的功能。314、设计dsp应用系统时,如何选择合适的dsp芯片。315、320c5000系列芯片的cpu内部各组成部分的功能 课件第二章P112316、dsp系统开发环境与工具的分类317名词解释:COFFDSPDSCTIXDSMMUEMIFMIPSMBPSMFLOPSASICeCANI2COMAPMcBSPMcASPSoCDSKOTPDSP/BIOS318、捕获单元是属于哪个外设模块?可以用于捕获什么事件?如何捕获?说明其捕获原理。简述PWM电路的基本工作原理。319、简述McBSP接口的基本工作原理。320、2812定时器可以产生的4种事件产生中断和它的4种操作模式。321、davinci平台的核心技术及主要特点323、说明can总线的特点及帧格式、can总线协议分层的原理和特点324、说明C28X eCAN总线模块的特点。说明eCAN总线模块初始化的方法325、说明eCAN模块的邮箱收发功能及其特点326、试说明sci、spi发送、接受数据的基本原理。试说明SCI和SPI的异同。3三课堂测试:31、F2812 GPIO有几个端口?输入输出怎么配置?32、在使用CCS仿真软件开发DSP程序时,用project来组织一个项目用到的各个文件,如果用C语言编写,通常需要哪些文件?各个文件的作用是什么?分别如何添加到工程中?连接器输入文件可包括哪些文件?33、JTAG接口有何特点和作用?其标准是什么,共有几条信号线?34、在论文Watchdog在嵌入式开发中的应用中所描述的关于解决Watchdog失效问题的对策有哪些?35、什么是MMR?AR的功能是什么?36.什么是跑飞?为什么定时器需要喂狗?37.SCI与SPI有何异同?如何配置SCI的通信方式?38、捕获单元是属于哪个外设模块?可以用于捕获什么事件?如何捕获?说明其捕获原理。简述PWM电路的基本工作原理。39.何谓PWM?简述PWM产生波形的原理, 简述死区单元的基本原理。310.简述SPI的三种数据模式和两种工作模式3四作业部分31简述TMS320F2812内部结构及其模块划分:32补充题:(1-4,8,9)33简述讲义TMS320F2812中断系统原理。34.思考如何将DSP技术应用于我们今后开发节能减排,绿色环保,安全可靠的电子产品。3一填空部分1、I2C,EMIF,I2S,AIC23概念答:1)I2C(InterIntegrated Circuit)总线是一种由PHILIPS公司开发的两线式串行总线,是一种串行通信接口规范,标准I2C总线只使用2条线通信,能将多个具有I2C接口的设备连接,进行可靠的通信。(PPT第8章61页)2)EMIF(外部存储器接口),External Memory Interface,是TMS DSP器件上的一种接口。一般来说,EMIF可实现DSP与不同类型存储器(SRAM、Flash RAM、DDR-RAM等)的连接。一般EMIF与FPGA相连,从而使FPGA平台充当一个协同处理器、高速数据处理器或高速数据传输接口。(PPT第8章57页+百度)EMIF支持的存储器包括:同步突发静态RAM(SBSRAM),同步动态RAM(SDRAM),异步器件(异步FIFO),外部共享存储空间的器件FLASH(PPT第8章60页)EMIF整个外部空间容量为64MB。分为4个空间CE0CE3,每个CE空间彼此独立,可以进行不同的访问控制。数据总线宽度32位,同时也提供对8/16bit数据读/写。数据吞吐能力最高可达923MB/s。(PPT第8章60页)3)I2S (InterIC Sound) 总线是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,该总线专用于音频设备之间的数据传输,广泛应用于各种多媒体系统。它采用了沿独立的导线传输时钟与数据信号的设计,通过将数据和时钟信号分离,避免了因时差诱发的失真,为用户节省了购买抵抗音频抖动的专业设备的费用。(ppt 第八章 p58)4)AIC23是TI公司的一款高性能立体声音频编解码器Codec芯片。其内部集成的模数转换器(ADCs)和数模转换器(DACs)采用了带有过采样数字插补滤波的多位SigmaDelta技术 数据传输字长为16、2O、24、32位,支持采样频率范围8kHz至96kHz。ADC和DAC的信噪比分别达到90dB和lOOdB。内置耳机输出放大器支持MIC和LINE IN两种输入方式,且对输入和输出都具有可编程增益调节。另外,AIC23功耗低,回放模式下功率仅为23mW,省电模式下更是小于15uW。因此,AIC23成为数字音频应用领域中的理想选择【21,在多种数码产品中发挥着重要作用,比较典型的应用如手机、MP3、DV摄像机中的音频编解码。TMS320VC5402(简称vc540z)是rrI公司的一款优秀16位定点DSP,运算速度快,指令执行速度达到IOOMIPS。自带片内存储器和多种片上外设,广泛应用于语音编解码和通信领域。(猜是百度的吧)2、EDMA的原理和结构(ppt第八章C5000-6000-Da p57)课本:补充材料 p92百度百科:增强型直接内存存取(EDMA),Enhanced Direct Memory Access,是数字信号处理器(DSP)中用于快速数据交换的重要技术,具有独立于CPU的后台批量数据传输的能力,能够满足实时图像处理中高速数据传输的要求。以TI公司的TMS320DM642型DSP为例,介绍EDMA控制器的特点。结合实例给出EDMA在图像数据实时传输中的具体控制和实现方法。实验结果表明,通过灵活控制EDMA不仅能够提高图像数据的传输效率,而且能够充分发挥:DSP的高速性能。 EDMA数据传输有两种发起方式: (1) CPU发起的EMDA数据传输(非同步方式):需要传输时,CPU设置ESR寄存器的相应位为1,从而触发一个EDMA事件的产生,事件对应的通道参数被送往地址硬件并且完成相应的处理,这种非同步方式的实时数据传输无需设定EER寄存器; (2)事件触发方式EDMA数据传输(同步方式):ER寄存器保存外设发送过来的事件,一旦CPU设置EER寄存器的相应位为1后,ER中的事件才会提交给事件编码器(Event Encoder),并且进一步引起相关的传输参数的发送给地址产生硬件;如果EER中对应于某事件的位没有置1,则ER寄存器中的事件将保留,一旦置1则触发EDMA的传输,这种特性可以应用到EDMA Chain传输,需要EER和CCER结合使用。3、TMS320F2812 原理结构 TMS320F2812是32位定点DSP,它采用改进的哈佛结构,其程序存储器和数据存储器分别独立且有各自分离的总线结构,即程序总线和数据总线。此外,它还采用精简指令系统(RISC)及8级流水线结构等设计技术和循环寻址方式等特殊寻址方式及复杂指令,极大的提高了处理器的运算速度和效率。(PPT第2章18页) TMS320F2812芯片具有丰富的片内资源,其中包含三个主要组成部分:(1)中央处理单元(CPU) 包括乘法部分、中央算术逻辑部分、移位部分、辅助寄存器算术单元等(2)存储器 F2812片内配置了不同类型的存储器,类型包括:单口随机存储器SARAM、只读存储器ROM、Flash存储器和2K16位的一次性可编程存储器OTP(One-time-programmable,OTP)等(3)片内外设 外设模块主要包含:PLL时钟模块,看门狗(WATCHDOG)定时器模块,通用数字输入输出(GPIO)模块,事件管理器(EV)模块模数转换器(ADC)模块,串行通信接口(SCI)模块串行外设接口(SPI)模块,多通道缓冲串口(McBSP)模块,增强型控制器局域网(eCAN)模块,内部集成电路(I2C)模块等。(PPT第2章19页)4、解释C6000系列的结构(C6416,C6713,DM6437,DM6447, DM6467)(1)C6416结构特点: 主处理器:TMS320C6416,主频:600MHz PCI接口:可以用PC机向DSP加载程序等功能,还可用作DSP的HPI接口,由外部CPU直接访问 EMIFA总线:按照168P内存槽方式扩展,可支持64位宽数据总线,总共1280M*8位寻址空间 支持与同步或异步总线内存或外设的连接 FLASH:4M*8bit,可用作启动ROM;当脱离计算机时,此板可独立工作 EMIFB异步模式扩展总线:可实现如通用输入输出等可编程逻辑 双通道语音信号编解码芯片:可实现双通道44.1KHz语音信号的输入和输出 支持有源输入/输出或无源输入/输出 3M标准PCI总线 外形尺寸:163mm70mm应用领域: 语音处理 高速信号处理 雷达信号处理 汽车牌照识别系统等 (源于网上)(2)C6713的硬件结构包括中央处理单元CPU、片内分层的存储器、增强的直接存储器存取EDMA、外部存储器接口EMIF、主机接口HPI、多通道缓冲串行口McBSP、多通道音频串行口McASP、I2C总线模块、通用输入/输出GPIO接口、定时器、扩展总线XBUS、PCI总线、锁相环PLL和节能逻辑(Power Done)等C6713的外设EDMA模块和EDMA控制器(16EDMA通道)主机接口HPI(16bit宽度的并行接口,主机-上位机掌握该接口控制权)McBSP(全双工通信;收/发独立的帧信号和时钟信号;可以与标准的编/译码器、AIC接口;支持T1/E1帧协议、ST-BUS兼容设备、AC97兼容设备、I2S兼容设备、SPI兼容设备)通用定时器外部存储器接口EMIF (External Memory Interface) (CPU访问片外存储器)JTAG接口(基于IEEE1149.1标准的一种边界扫描测试方式。仿真器通过一个14引脚接插件与芯片的JTAG端口进行通信)(第八章、56和57页)(3)DM6437TMS320DM6437是TI公司2006年推出的、专门为高性能、低成本视频应用开发的、主频600MHz的、32位定点DSP达芬奇 (DaVinci(TM) 技术的处理器系列。TMS320C64x+DSP核,主频可达600MHz,支持8个8位或4个16位并行MAC运算,峰值处理能力高达4800MIPS,可实时处理8路CIF或3路D1格式的H.264编码算法。还有面向应用的硬件逻辑、片内存储器以及大量外设,其中外设包括有2个可配置是视频口、视频子处理系统(VPSS)、以太网媒体服务控制器(EMAC)、管理数据输入/输出模块(MDIO)、I2C和VLYNQ口、CAN控制器模块。(补105页,部分源于网上)(4)DM6446 (适合于DM644x系列)业内称之为达芬奇数字媒体偏上系统DMSoC,包括ARM子系统、DSP子系统、视频处理子系统(VPSS)和系统控制模块,电源管理、外部存储器接口、外围控制模块和交换中心资源(SCR)等部件。(补P1)DaVinci处理器的外设异步外部存储器接口EMIF、音频串口ASP、ATA控制器、DDR2存储器控制器、EDMA控制器、以太网媒体(介质)访问控制器EMAC/管理数据输入输出、MDIO模块、通用输入输出GPIO、内部集成电路I2C模块、内部IDMA控制器、DSP子系统中断控制器INTC、多媒体卡/安全数字MMC/SD卡控制器、节电控制器PDC、脉冲宽度调制PWM、串行外设接口SPI、64位定时器、通用异步收发UART、通用串行总线USB、VLYNQ接口(高速串行通信接口)(第八章6976)(5)DM6467硬件规格DM6467集成了ARM9和DM64X的DSP处理器,用于处理视频等高端应用。特性包括:128 MBytes 的NAND Flash 存储composite 和S-video输入;composite 和S-video输出;高清输入和输出;AIC32 立体编解码器音频输出包括:LINE IN LEFT/RIGHT、LINE OUT LEFT/RIGHT、MIC IN和HPOUTUSB host 接口RS485接口10/100/1000 MBS 以太网物理层接口红外线远程接口9 脚的UART 接口JTAG 接口ATA接口3个GPIO按键IDE硬盘接口应用描述 视频电话 数字视频录像机(DVR) IP机顶盒 安防监控和各种数码产品等 视频服务器(DVS)(源于网上)5、OMAP(3530) 原理和结构答:(PPT第8章82-85页)TI推出的新一代移动应用处理器OMAP3530,是专门为智能手机、GPS系统和笔记本电脑等低功耗便携式应用而设计。OMAP3530在单一的芯片上集成了ARM,Cortex-A8内核、TMS320C64x+ DSP内核、图形引擎、视频加速器以及丰富的多媒体外设,其中Cortex-A8内核拥有超过当今300MHz ARM9器件4倍的处理性能。OMAP3530可广泛用于流媒体、2D/3D游戏、视频会议、高清静态图象、3G多媒体手机、高性能PDA等项目的评估与应用。CPU:600Mhz Cortex-A8 ARM + 430Mhz C64x+ DSP3D加速1Gbit NAND Flash + 1Gbit Mobile DDR SDRAM1路PAL模拟视频输入1路200万像素CMOS数字视频输入1路PAL模拟视频输出,1路DVI输出7寸TFT液晶触摸屏1路音频输入,1路音频输出SD/MMC接口,RTC实时时钟1路RS232 ,GPS模块+天线GSM SIM卡接口支持WIFI无线网络,10M/100M自适应网口1路USB Client接口,3路USB Host接口1000mA充电电池(AC电源适配器及USB充电)支持Linux、WinCE等操作系统OMAP3530:面向多媒体智能设备的单芯片解决方案OMAP3530 是该系列中的扩展集处理器,其在单芯片上集成了ARM、DSP、图形引擎以及外设集,因此能够满足高性能需求、低功耗工作与娱乐性应用。720 MHz OMAP3530处理器的主要特性与优势:720 MHz ARM Cortex-A8 内核支持1400 Dhrystone 每秒百万条指令(MIPS);520 MHz C64x+ DSP 可为优化音视频编解码器质量与定制IP预留更多空间;用于加速3D 图形的POWERVR SGX 子系统支持显示与游戏效果;综合电源与时钟管理方案可实现具有高性能的低功耗工作以及低功耗待机特性;与TIOMAP35x 器件实现了引脚对引脚兼容,从而使OEM 厂商能够在统一平台基础上高效创建完整的产品系列。(下面应该是网上找的)OMAP3530采用了面向多媒体智能设备的单芯片解决方案,在单一的芯片上集成了ARM,Cortex-A8内核、TMS320C64x+ DSP内核、图形引擎、视频加速器以及丰富的多媒体外设,其中Cortex-A8内核拥有超过当今300MHz ARM9器件4倍的处理性能。OMAP3530可广泛用于流媒体、2D/3D游戏、视频会议、高清静态图象、3G多媒体手机、高性能PDA等项目的评估与应用。OMAP3530 的硬件主要由ARM 内核、DSP 内核以及流量控制器( Traffic Cont roler ,TC) 组成。(1) ARM内核OMAP3530 采用ARM Cortex2A8 核,工作主频最高可达720 MHz。它包括存储器管理单元、16 KB 的高速指令缓冲存储器、16 KB 的数据高速缓冲存储器和256 K 字的二级Cache ;片内有64 KB 的内部SRAM ,为液晶显示等应用提供了大量的数据和代码存储空间。Cortex2A8内核采用13 级流水线、32 位的RISC 处理器架构。系统中的控制寄存器对MMU、Cache 和读写缓存控制器进行存取操作。ARM 内核具有整个系统的控制权,可以设置DSP、TC 以及各种外设的时钟及其他工作参数,控制DSP的运行停止。OMAP3530 平台可支持包含绘图、多媒体内容和J ava 程序的先进应用。(2) DSP 内核TMS320C64X + 内核具有最佳的功耗性能比,工作主频最高为520 MHz ;它具有高度的并行能力,32 位读写和功能强大的EMIF ,双流水线的独立操作以及双MAC 的运算能力。它采用3 项关键的革新技术:增大的空闲省电区域、变长指令和扩大的并行机制。其结构针对多媒体应用高度优化,适合低功耗的实时语音图像处理。另外,TMS320C64X + 内核增加了固化了算法的硬件加速器,来处理运动估计、8 8 的DCT/ IDCT 和1/ 2 像素插值,降低了视频处理的功耗。(3) 流量控制器流量控制器TC 用于控制ARM、DSP、DMA 以及本地总线对OMAP3530 内所有存储器( 包括SRAM ,SDRAM、Flash 和ROM 等) 的访问。OMAP3530 具有丰富的外围接口,如液晶控制器、存储器接口、摄像机接口、空中接口、蓝牙接口、通用异步收发器、I2C 主机接口、脉宽音频发生器、串行接口、主客户机USB 口、安全数字多媒体卡控制器接口、键盘接口等。这些丰富的外围接口使应用OMAP 的系统具有更大的灵活性和可扩展性。6、DSP 系统开发环境 emulator和simulator的含义与区别答:课本16页Emulator是硬件仿真器,是一种在线仿真工具,它用JTAG接口电缆把DSP硬件目标系统和装有仿真软件/仿真卡的PC接口班连接起来,用PC平台对实际硬件目标系统进行调试,能真实的仿真程序在实际硬件环境下的功能。Simulator是软件仿真器,是一种脱离硬件的纯软件仿真工具。将程序代码加载后,在一个窗口工作环境中,可以模拟DSP的运行程序,同时对程序进行单步执行,设置断点,对寄存器/存储器进行观察,修改,统计某段程序的执行时间等。区别:(百度的)(1). 有使用硬件来模拟的,都是Emulator。比如基于单片机的模拟。(什么是叫使用硬件模拟?比如模拟源平台的Timer/PPU/SPU, 直接使用目标平台的Timer/PPU/SPU,那么就是硬件模拟)。(2). 一般的,在PC上运行的模拟器都叫Simulator,常见的是模拟LCD的显示画面; 在嵌入平台上运行的模拟器都是Emulator,因为在嵌入平台运行的话,为了提高效率,都会以对应的硬件模块来模拟源平台。(3). PC上的模拟器如果模拟其内部设计、行为,比如读取ROM文件,精确中断、异常,OS等都是Emulator。总之,Simulator 都是软件,Emulator有硬有软也可以软硬结合7、JTAG接口的原理结构和应用答:(百度)原理:JTAG最初是用来对芯片进行测试的,基本原理是在器件内部定义一个TAP(Test Access Port测试访问口)通过专用的JTAG测试工具对内部节点进行测试。JTAG测试允许多个器件通过JTAG接口串联在一起,形成一个JTAG链,能实现对各个器件分别测试。现在,JTAG接口还常用于实现ISP(In-System Programmable;在线编程),对FLASH等器件进行编程。 应用:JTAG也是一种国际标准测试协议(IEEE 1149.1兼容),主要用于芯片内部测试。现在多数的高级器件都支持JTAG协议,如DSP、FPGA器件等。标准的JTAG接口是4线:TMS、TCK、TDI、TDO,分别为模式选择、时钟、数据输入和数据输出线。 相关JTAG引脚的定义为:TCK为测试时钟输入;TDI为测试数据输入,数据通过TDI引脚输入JTAG接口;TDO为测试数据输出,数据通过TDO引脚从JTAG接口输出;TMS为测试模式选择,TMS用来设置JTAG接口处于某种特定的测试模式;TRST为测试复位,输入引脚,低电平有效。8、看门狗原理答:PPT7.1章第27页。在系统运行后同时也启动了看门狗计数器(一个8位的加法计数器),看门狗就开始自动计数,如果超过规定时间看门狗未没清看,看门狗就会溢出从而引起看门狗中断,产生一个系统复位脉冲(宽度为512个振荡器的时钟周期512OSCCLK),造成系统复位。或者论文WatchDog在嵌入式开发中的应用提到:WatchDog 的工作描述看门狗定时器包含一个数字计数器,该计数器可以从一个事先设置好的数开始以不变的速度减到 0。计数器的速度由一个时钟电路控制。如果计数器在系统恢复之前减到 0,它就会向指定的电路发送信号,通知它执行相应的动作。当一个硬件系统开启了 WatchDog 功能,那么运行在这个硬件系统之上的软件必须在规定的时间间隔内向 WatchDog 发送一个信号。该行为被称为“喂狗”(Feed Dog),以免WatchDog 记时超时引发系统重起。但是必须清楚看门狗的溢出时间 (定时器的溢出周期 )以决定在合适的时候“喂狗”, 喂狗”也不能太过频繁否则会造成资源浪费。9、事件管理器原理(课件:7.3 事件管理器(EV)模块; 书上P228)答:EVent Manager Module, EVTMS320F2812提供了两个事件管理器EVA和EVB模块,用于运动控制和电机控制。每个件管理器模块都含有:1、两个16位通用可编程定时器GP timer1,GP timer22、3个全比较单元和与之对应的脉宽调制电路PWM3、3个捕获单元CAP4、1个正交编码脉冲(QEP)电路5、中断逻辑每个事件管理器(EV)有4个通用定时器,EVA有2个16位通用定时器GP1和GP2,EVB有2个16位通用定时器GP3和GP4。4个定时器结构和功能相同,独立使用。定时器的核心是计数器。每个通用定时器都有一个计数器,存放开始计数时的初值,当进行计数时存放当前计数值。计数器可以进行增1或减1计数,由控制寄存器TxCON的D12D11确定其计数模式。事件管理器中的所有输入都由内部CPU协调同步,高电平为加计数;低电平为减计数。每个通用定时器都有一个比较寄存器,存放与计数器TxCON进行比较的值。如果设置控制寄存器TxCON中的D1位为1,即使能(允许)比较操作,则当计数器的值计到与比较寄存器值相等时产生比较匹配; 每个通用定时器都对应一个周期寄存器,周期寄存器的值决定了定时器的周期。当定时器的计数值与周期寄存器的值相等时产生周期匹配,此时通用定时器停止操作并保持当前计数值,然后根据计数器的计数方式执行复位操作或递减计数。 通用定时器在模块EVA和EVB的中断标志寄存器EVAIFRA,EVAIFRB,EVAIFRC,EVBIFRA,EVBIFRB,EVBIFRC中有16个中断标志。每个通用定时器可根据以下4种事件产生中断:(1)上溢定时计数器的值达到FFFFh时,产生上溢事件中断此时标志寄存器中的TxOFINF位(x=1, 2, 3, 4)置1(2)下溢定时计数器的值达到0000h时,产生下溢事件中断。此时标志寄存器中的TxUFINF位(x=1, 2, 3, 4)置1。(3)比较匹配当通用定时计数器的值与比较寄存器的值相等时,产生定时器比较匹配事件中断。此时标志寄存器中的TxCINT位(x=1, 2, 3, 4)置1。(4)周期匹配当通用定时计数器的值与周期寄存器的值相等时,产生定时器周期匹配事件中断。此时标志寄存器中的TxPINT位(x=1, 2, 3, 4)置1。10、DSP实验操作(实验指导书P4)答:加电顺序:1、 电脑开机 2、实验箱的220V电源 3、试验箱核心板的电源 4、打开CCS环境进行调试 5、运行程序关电顺序:1、先停止正在运行的程序2、关掉CCS调试环境3、关掉实验箱核心板的5V电源4、关掉实验箱的220V电源5、电脑关机实验步骤:1、 硬件连接;将DSP仿真器与计算机USB口连接好,将仿真器JTAG头插入DSP实验装置核心板,按加电顺序上电2、 双击桌面CCS图标,进入CCS开发环境;3、 新建工程;选择Project/New菜单,进行新建,项工程中添加源文件(.c)4、 编译工程文件5、 调入可执行文件:编译成功后,使用FILE菜单中的LOAD PROGRAM命令调入可执行文件(.OUT)6、 运行程序7、 跟踪调试程序11、MCBSP答:(第八章课件P13)多通道缓冲串口(McBSP) 5416 DSP提供了三个高速、双向、多通道带缓冲串口(McBSP)。它可以与其他C54x DSP器件或其他串行接口器件通信。并且可以与许多现今常用的编解码芯片(如语音CODEC,TLV320AIC23)实现无缝连接,这大大的简化了硬件电路设计的复杂性。McBSP的特性 全双工通信;双缓冲的发送和三缓冲的接收数据存储器,允许连续的数据流;独立的接收与发送帧、时钟信号;具有外部移位时钟发生器及内部频率可编程移位时钟;多达128个发送和接收通道数.提供8、12、16、20、24、32位数据字长可选的高位或低位先发送的8位数据发送帧同步和时钟信号极性可编程与McBSP有关的引脚nDX :发送引脚,与McBSP相连接发送数据nDR:接收引脚,与接收数据总线相连接nCLKX :发送时钟引脚nCLKR :接收时钟引脚nFSX :发送帧同步引脚nFSR : 接收帧同步引脚在时钟信号和帧同步信号控制下,接收发送通过DR和DX引脚与外部器件直接通信.12、HPI 答:(第八章课件P23P24)HPI主机接口。HPI是一个8位并行口,用来与主设备或主处理器与C54x DSP的接口。信息在C54x DSP和主机之间通过HPI口进行数据的交换。主机和DSP均可以访问寄存器。HPI是HPI的主控制者,HPI作为一个外设与主机相连接,使主机的访问操作更容易。n主机通过以下单元与HPI通信:专用地址和数据寄存器、HPI控制寄存器、外部数据和接口控制信号nHPI的外部接口为一个8位的数据总线(HD0-HD7),通过两个连续的8位字节组合在一起,形成一个16位字的数据。由HBIL引脚信号确定传输的是第一个字节还是第二个字节。nHPI控制寄存器HPIC的BOB位决定了第一个还是第二个字节放在高8位上,因此主机可以不用破坏两个字节的访问顺序。13、SCI,和SPI的原理和特点答:1、SCI:(PPT第7.5章异步串行第3-7页)281x器件的串行通信接口(SCI)模块是一个标准的通用异步接收/发送(UART)可编程串行通信接口。SCI有两个输入/输出引脚:SCIRXD(SCI接收数据引脚)和SCITXD(SCI发送数据引脚);SCI通过一个16位的波特率选择寄存器,可编程选择64k种不同速率的波特率。SCI支持半双工和全双工操作,发送器和接收器的操作可以通过中断或转换状态标志来完成。SCI结构:在全双工模式下,SCI结构图如图12-1所示。(课本345页)SCI有两个多处理通信协议:空闲线多处理器模式、地址位多处理器模式,均保证多处理器之间进行有效的数据传送。可以通过设置SCI通信控制寄存器(SCICCR)来编程选择上述两种通信模式和数据格式。(课本346页)2、(PPT7.6章第3-12页)SPI定义:串行外设接口(SPI)模块是一个高速同步串行输入/输出(I/O)口,它能使可编程长度(116位)的串行位流以可编程的位传输速率输入或输出器件。减少芯片引脚数:SPI只需3根引脚线就可以与外部设备相连接,可作为一种串行总线标准,以同步方式实现两个设备之间的信息交换,即两个设备在同一时钟下工作。串行通信接口SCI是以异步方式实现两个设备间的信息交换,即两个设备有各自的串行通信时钟,在相同的波特率和数据格式下实现通信。由于SPI是同步方式工作,所以它的传输率远远高于SCI。 F2812的SPI接口有一个16级的接收传输FIFO,以减少CPU的开销 SPI通常用于DSP控制器与外部设备或其他控制器之间的通信,用SPI可以构成多机通信系统,SPI还可以作为移位寄存器、显示驱动器和模数转换器ADC等器件的外设扩展接口。SPI结构:SPI支持主动(M: 主模式-发时钟)或从动(S: 从模式-接时钟)操作模式;模块内部有12个存储器映射的控制和状态寄存器,控制SPI操作和4种SPICLK的时钟方式。 SPI模块有4个引脚:SPISIMOSPI主输出/从输入引脚。SPI工作在主模式下为发送(输出);从模式下为接收(输入)。SPISOMISPI主输入/从输出引脚。SPI工作在主模式下为接收(输入);从模式下为发送(输出)SPICLKSPI时钟。SPI工作在主模式下为输出时钟;从模式下为输入时钟。SPISTESPI从发送使能。主模式下,该引脚为通用I/O引脚;从模式下,该引脚可作为I/O功能也可作为选通功能(从模式发送使能引脚)。作为选通功能时,若为高电平,将使SPI移位寄存器(SPIDAT)停止工作且输出引脚为高阻态;若为低电平,将使能SPI的传送功能。SPI的操作:SPI可以工作在主方式或从方式下。图13-3是由两个DSP器件的串行外设接口SPI组成的主控制器和从控制器之间的串行通信连接图。(SPICTL: MASFTER/SLAVE, 1-主模式;0-从模式)(课本 P388-389)两个控制器可同时发送和接收数据。主控制器可通过输出SPICLK信号来启动数据传送。SPI有三种可能的数据发送方法:主控制器发送数据,从控制器发送伪数据;主控制器发送数据,从控制器发送数据;主控制器发送伪数据,从控制器发送数据。二问答部分4、2812中断系统原理答:(可结合作业部分第3题)(ppt第3章)TMS320F2812的中断管理分为三级:外设级中断管理,PIE(Peripheral Interrupt Expansion, PIE, 外设中断扩展) 级中断管理,CPU级中断管理。(ppt第3章第4页)TMS320F2812外设级中断管理主要负责外设内部的中断源的屏蔽与使能。PIE级中断管理主要负责将各种已使能的外设中断进行分组管理并形成最终的CPU中断请求。CPU级中断管理主要负责处理直接向CPU申请的中断请求,这些中断请求分别来自PIE外设中断模块、外部中断引脚和片内32位的CPU定时器。(ppt第3章第6页)TMS320F2812支持32个CPU级中断源,并支持软件和硬件两种中断。硬件中断和软件中断从CPU管理的角度又分为可屏蔽中断和不可屏蔽中断两类。TMS320F2812对于中断的处理主要分为下列4个步骤:硬件中断或软件中断提出中断请求。F2812在能够响应中断的情况下响应中断请求。保存现场,为执行中断服务程序作好准备。执行中断服务子程序。(ppt第3章第8,10页)中断处理的模式(PPT第3章17页)中断处理模式 相应标志标准中断处理模式 INTM=0且IER对应位为1实时调试模式且CPU停机 IER对应位为1且DBGIER为1 有关于中断服务程序在PPT第三章33页:3.6 中断服务程序1. 在实际应用中,由文件“DSP281x_PieVect.h”中定义PIE_VECT_TABLE结构体,该结构体的每个成员都表示一个中断源的中断向量。2.PIE_VECT_TABLE结构体类型被用于定义一个PIE中断向量表实体PieVectTable。3. 用户可以通过地址赋值将中断服务程序入口地址赋予该实体PieVectTable中的成员变量例:PieVectTable.XINT1=&ISRXINT1;注意:中断服务程序的函数声明一定要放在主程序开始处!另外课本110页的例子。 5、如何将dsp应用于开发节能减排绿色环保安全可靠的电子产品(网上找的)高性能系统的核心信号处理将越来越多地转向FPGA。FPGA可以提供其他任何半导体器件无法提供的最高的可编程DSP性能,在加上纵向移植以及面向低成本器件的HardCopy技术,目前没有那种比此更灵活的系统架构解决方案能更好地满足性能、低功耗、低成本和产品广度及寿命要求。从一定程度上说,选择什么样的DSP就决定系统处于什么样的功耗层次。在实际应用中,电源系统直接决定了DSP能否在高性能低功耗的情况下工作,因此,一个稳定而可靠的电源系统是至关重要的。工业和医疗电子向小型化、便携式和低功耗方向发展。从硬件角度来说,首先,需要降低硬件的功耗并减少尺寸。其次,稳定性也是设计工程师考虑的一件事。在这样的需求下,过去应用的嵌入式PC或工控机就有点大了,也不太方便。从本质上说,我们采用嵌入式处理技术追求的就是差异化的设计。根据不同产品的定义和需求,我们可以选择不同的嵌入式平台去处理不同的应用,这样,可以更好地满足差异化需求。采用嵌入式PC或工控机实现差异化设计不是一件容易的事情,因为做定制化开发要依托于系统,嵌入式PC对大容量计算和实时性操作有可能处理不了。电池电池是一种能量转化与储存的装置,它通过反映将化学能或者物理能转化为电能。电池即一种化学电源,它由两种不同成分的电化学活性电极分别组成正负两极浸泡再能提供媒体传导作用的电解质中,当连接在某一外部载体上时,通过转换其内部的化学能来提供电能。 或蓄电池为仪器设备提供电源。在这种情况下,如要实现系统长时间工作,必然对仪器设备系统功耗的要求较高,因此低功耗系统的设计在这些应用领域中得到广泛重视。TMS320VC5509(以下简称VC5509)是德州仪器(TI)公司针对低功耗应用领域推出的一款低功耗高性能DSP,采用1.6V的核心电压以及3.3V的外围接口电压,最低可支持0.9V的核心电压以0.05mW/MIP的低功耗运行低功耗器件的选择以及接口设计高效率电源的设计在不影响系统工作性能的前提下,适当降低DSP工作主频可以降低系统功耗。处理器性能的主要衡量指标是时钟频率。绝大多数的集成电路 (IC) 设计都基于同步架构,而同步架构都采用全球一致的时钟。这种架构非常普及,许多人认为它也是数字电路设计的唯一途径。然而,有一种截然不同的设计技术即将走上前台:异步设计。 这一新技术的主要推动力来自硅技术的发展状况。随着硅产品的结构缩小到 90 纳米以内,降低功耗就已成为首要事务。异步设计具有功耗低、电路更可靠等优点,被看作是满足这一需要的途径。6、2812cpu内部各组成部分的功能。2812dsp 总线结构特点。答:(PPT第2章第21页以后)TMS320F2812CPU内部结构TMS320F2812CPU内部的核心单元包含乘法部分、中央算术逻辑部分、移位部分、辅助寄存器算术单元等。 (1)乘法器部分主要完成乘法操作乘法器可以接收以下2个32位的数据输入:1)数据存储器或程序存储器提供一个数据输入(被乘数);2)临时寄存器TREG提供另一个输入(乘数),在乘法之前把数据读数据总线的值加载到临时寄存器。 (2)中央算术逻辑部分32位中央算术逻辑部分完成算术和逻辑运算,主要包括:32位中央算术逻辑单元(CALU),32位累加器(ACC),输出移位器。 (3)辅助寄存器算术单元(ARAU)主要功能是与中央算术逻辑单元(CALU)中进行的操作并行地实现对辅助寄存器的算术运算。 2812dsp 总线结构特点1)对数据存储器的访问采用各自独立的读写地址总线(DRAB、DWAB)和读写数据总线(DRDB、DWDB)。2)独立的程序空间和数据空间允许CPU同时访问程序指令和数据。7、2812dsp 低功耗模式,各特点答:课本58页。一下答案不知道从哪里找来,看起来也很对。(1)睡眠(IDLE)模式:OSCCLK状态为ON。任何被使能的中断或NMI信号可使DSP退出该模式,当LPMCR1:0设置为0,0后DSP进入该模式,此后LPM模块停止执行任务。(2)悬停(HALT)模式:OSCCLK状态为ON (看门狗仍然运行),在悬停模式下只有和XNMI信号可以唤醒DSP,通往CPU的XNMI输入在XNMICR寄存器中有允许/禁止位。(3)备用(STANDBY)模式:OSCCLK状态为OFF(晶振和锁相环关闭,看门狗不工作)。在LPMCR1寄存器中可以选用多个信号将DSP从备用模式下唤醒。LPMCR0寄存器中可以设置被选择唤醒信号的采样的OSCCLK时钟数。8、2812flash存储器的结构特点答:(PPT第2章第56页)(1)多扇区存储器结构TMS320F2812片内的128K16位的Flash存储器是由多个称为扇区(Sector)的区段构成,各个扇区可以单独擦除、编程和校验而不影响其他扇区的内容。(2)低功耗模式TMS320F2812CPU具有复位和休眠状态、待机状态和正常激活状态三种供电模式,其中复位和休眠状态是F2812上电复位后的状态,此时Flash存储器功耗最低;正常激活状态是Flash存储器正常供电状态,此时Flash存储器功耗最大。可以通过Flash的功耗模式寄存器(FPWR)对F2812的三种工作模式进行选择。(3)可以根据CPU频率配置等待时间以及采用Flash流水线模式TMS320F2812主要有两种改善Flash存储器访问性能的措施。其一,通过Flash存储器的页访问机制,从而减少等待状态数;其二,通过采用Flash流水线模式,由于该流水线独立于F2812CPU流水线,通过流水线模式下使用的被访问对象的预读取机制大大减小Flash存储器等待状态对CPU内部代码执行的影响,提高了代码的执行效率。(4)代码保护TMS320F2812片内Flash存储器提供代码安全模块(CSM)的保护机制,通过CSM128位的安全密码对Flash存储器中固化的程序和数据进行有效的加密保护,禁止非法用户对此程序和数据进行访问。对Flash存储器中进行加密的信息进行访问均需要提供有效的密码验证。 9、汇编语言指令寻址方式:立即直接间接答: (PPT第4章指令系统与汇编程序设计第4,第5页页:)(1) 立即寻址方式:直接使用常数作为指令中的操作数。包含两种类型:短立即数寻址方式 长立即数寻址方式(2) 直接寻址方式:将指令中的6位/7位偏移量与数据存储页面指针(DP)的16位链接在一起,形成一22位数据存储器地址。(3) 间接寻址方式:通过一个32位的辅助寄存器间接访问数据存储器。10、2812汇编语言程序设计的过程及在此过程中汇编器和链接器的功能答:都在PPT第4章101,103,104,185,186页:(101页)TMS320F2812的汇编语言程序采用分段的段结构设计方法,段内的程序指令或数据表等只具有相对地址,即相对于当前段的段内地址。通过按照段结构设计的汇编语言程序经过汇编器汇编后生成COFF格式的目标文件,然后再通过链接器链接后各个数据段或程序代码段被赋予各段的绝对地址。(103页)用户的汇编语言源程序经过汇编器汇编后生成COFF通用目标文件格式的目标文件(*.OBJ),之后链接器将其根据用户所编写的一个链接器命令文件(*.cmd)与程序运行所需的库文件、头文件等进行链接,实现用户程序代码与实际目标系统的物理存储器之间的链接,最后生成可执行的输出文件(*.out)。汇编器的功能(185页):将汇编语言源程序汇编成一个可重新定位的目标文件(.obj文件)根据需要,可以生成一个列表文件(.lst文件)根据需要,可以在列表文件后面附加一张交叉引用表将程序代码分成若干段,为每个目标代码段设置一个段程序计数器SPC定义和引用全局符号汇编条件程序块支持宏功能,允许定义宏命令链接器的主要功能:两个版本一(104页)链接器通过目标文件COFF中的段生成可执行的代码段,并将多个输入到目标文件中的段进行组合和装配,创建可执行的COFF输出段;为输出段分配实际目标系统物理内存地址。二(186页)将各个段配置到目标系统的存储器中对各个符号和段进行重新定位,并给它们指定一个最终的地址解决输入文件之间未定义的外部引用问题汇编语言源程序的编辑、汇编和链接过程:(PPT第5章第3页)11、源程序的编辑汇编和链接过程,编译器特点,c优化编译器的功能。2812汇编语言程序设计中目标文件和其输出文件的格式 答:(PPT第5章第5页以后,和源自谷歌百度)1、(源自谷歌百度)C语言的编译链接过程要把我们编写的一个c程序(源代码)转换成可以在硬件上运行的程序(可执行代码),需要进行编译和链接。C源程序头文件预编译处理(cpp)编译程序本身优化程序汇编程序链接程序-可执行文件(1)编译就是把文本形式源代码翻译为机器语言形式的目标文件的过程。链接是把目标文件、操作系统的启动代码和用到的库文件进行组织形成最终生成可执行代码的过程。编译是读取源程序(字符流),对之进行词法和语法的分析,将高级语言指令转换为功能等效的汇编代码;(2)汇编实际上指把汇编语言代码翻译成目标机器指令的过程。对于被翻译系统处理的每一个C语言源程序,都将最终经过这一处理而得到相应的目标文件。目标文件中所存放的也就是与源程序等效的目标的机器语言代码。目标文件由段组成。通常一个目标文件中至少有两个段:代码段:该段中所包含的主要是程序的指令。该段一般是可读和可执行的,但一般却不可写。数据段:主要存放程序中要用到的各种全局变量或静态的数据。一般数据段都是可读,可写,可执行的。由汇编程序生成的目标文件并不能立即就被执行,其中可能还有许多没有解决的问题。例如,某个源文件中的函数可能引用了另一个源文件中定义的某个符号(如变量或者函数调用等);在程序中可能调用了某个库文件中的函数,等等。所有的这些问题,都需要经链接程序的处理方能得以解决。(3)链接程序的主要工作就是将有关的目标文件彼此相连接,也即将在一个文件中引用的符号同该符号在另外一个文件中的定义连接起来,使得所有的这些目标文件成为一个能够诶操作系统装入执行的统一整体。2、 编译器的特点:C#编译过程中编译多个源文件有两个方面的特点: 1.把所有的源文件编译成一个exe文件 2.把一些文件编译成dll,一些编译成exe 然后作为一个应用程序一起使用。3、c优化编译器的功能:产生DSP专用汇编源代码。TI为其TMS320系列DSP提供一系列C优化编译器,将标准C源文件编译成为相应片种的汇编语言源代码文件,进而汇编和连接,产生可执行的目标文件。经过优化得到的汇编代码必须经过汇编程序的汇编转换成相应的机器指令,方可能被机器执行。4、 目标文件是.COFF格式输出文件是.OUT格式12、2812汇编语言程序设计中链接器命令文件的功能及格式。答:(课件第四章P103)用户的汇编语言源程序经过汇编器汇编后生成COFF通用目标文件格式的目标文件(*.OBJ),之后链接器将其根据用户所编写的一个链接器命令文件(*.cmd)与程序运行所需的库文件、头文件等进行链接,实现用户程序代码与实际目标系统的物理存储器之间的链接,最后生成可执行的输出文件(*.out)。13、2812链接器指令MEMORY和SECTIONS的功能。比较伪指令.data和.bss的功能。答:(ppt第4章146、147页)(1)MEMORY功能:用连接器伪指令MEMORY(存储器伪指令)可以确定目标系统的各种内存配置。MEMORY伪指令确定在目标系统中具有物理位置并且可被程序使用的存储器范围。当MEMORY决定了存储器模式后,可以用连接器伪指令SECTIONS(段伪指令)确定连接器组合输入段的方法和输出段在存储器中的位置。(2)SECTIONS伪指令的功能:(PPT第4章156页)描述输入段怎样被组合到输出段内;定义在执行程序中的输出段;规定输出段在存储器中的位置;允许重新命名输出段。(3)(PPT第4章102,171页,).data用于存放有初值的数据块。初始化段内包含可执行代码、初始化变量值或数据表等,可用.data、.text、.sect来定义初始化段。.bss用于为变量保留一块存储空间。未初始化段表示汇编器只为这样的段保留存储空间而不进行任何初始化,段内并无实际内容,可用.bss、.usect来定义未初始化段。14、设计dsp应用系统时,如何选择合适的dsp芯片。答:(参考关于dsp第六点)(1)选用几个DSP; (2)这多个DSP如何协同工作,如何分配工作;(3)如何调度。上面是简单的课堂笔记,下面部分为网上资源如何选择合适的DSP芯片是我们在设计电路的时候需要考虑的一个重要问题,DSP芯片的种
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 考试试卷


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!