基于VerilogHDL的自动售货机设计

上传人:仙*** 文档编号:89159435 上传时间:2022-05-12 格式:DOC 页数:36 大小:167KB
返回 下载 相关 举报
基于VerilogHDL的自动售货机设计_第1页
第1页 / 共36页
基于VerilogHDL的自动售货机设计_第2页
第2页 / 共36页
基于VerilogHDL的自动售货机设计_第3页
第3页 / 共36页
点击查看更多>>
资源描述
-:NANCHANG UNIVERSITY学士学位论文THESIS OF BACHELOR(2012 2016年)题目基于Verilog HDL的自动售货机设计学院:信息工程学院系电子信息工程系专业班级:学生*:*:指导教师:职称:教授起讫日期:2016.6.3 . z.-基于Verilog HDL的自动售货机设计专业:电子信息工程*:6100212164学生*:田启泽指导教师:陶凌摘要自动售货机是商业自动化的常用设备,它不受地点和时间的限制,能够支持线上,线下多种支付方式,出货迅速,并且操作简单方便,因此受到了社会各群体的欢迎。现目前自动售货机多为单片机设计,常出现因功能单一不能满足顾客需求,性能不稳定带来各种售货出错等现象。本文将论述采用EDA方法,以Quartus为软件开发平台,使用Verilog HDL语言设计,经过仿真后,在FPGA器件中实现自动售货机系统的详细流程。经过仿真验证设计可以实现投币计次,两种售价均为两元的商品选择,购物操作无条件取消,按键消抖,以及投币退币数量显示功能。硬件电路用一个按键电路实现投币功能,以led的点亮的数量指示投币的数量和商品出货情况,并以七段数码管显示退币的数量。关键词:自动售货机;Verilog HDL;FPGA;EDA;Quartus . z.-Design of Vending Machine Based on VHDLAbstract Vending machines are monly used as mercial automated equipment, it is not restricted some trouble aspects,such as place,time and so on. It support online, offline payment methods, fast shipping, and easy operation, therefore has been weled by all social groups. Vending machines are currently a mostly single-chip design, often due to a single function can not meet customer needs, bring a variety of sales performance problems due to unstable capability. This article will discusses the selling process of the vending machine by using Quartus as software development platform, with the EDA method and through Verilog HDL language designing, after simulating, plying vending machine system in FPGA devices. After simulation designed it can be achieved coin metering and selection of modities both are priced at two yuan, unconditionally canceled, key debounce and coin-coin number display. Hardware circuit using a function key circuits replays coin-operated,the number of led lights indicates the number of shipments of goods and coin, and using seven-segment LED to display the number of coin return.Keywords: vending machine;Verilog HDL;FPGA;EDA;Quartus . z.-目录摘要Abstract第一章绪论错误!未定义书签。1.1 自动售货机设计的研究背景错误!未定义书签。1.2 自动售货机设计的研究意义21.3 国内外研究现状21.4 自动售货机设计的主要内容3第二章相关技术简介52.1 EDA技术简介52.2 Verilog HDL语言介绍62.3 Quartus软件开发平台7第三章总体设计方案83.1设计要求83.2 总体设计8第四章模块设计及仿真测试104.1 投币计次功能的实现104.2 led与数码管显示功能的实现114.3 无条件取消功能的实现144.4 商品选择出货功能的实现164.5 抖动消除电路的实现18第五章总体功能的仿真测试20结论23参考文献(References)24致谢25附录26. z.-第一章 绪论1.1 自动售货机研究背景中国产业调研网发布的2016年版中国自动售货机市场现状调研与发展趋势趋势分析报告认为,居民收入水平显步攀升,自动售货机的迅猛发展得益于消费群体追逐灵活、智能、高效以及自助式服务。现今自动售货机已经成为了欧美发达国家经济发展中的一个不可分割部分,特别是对青年一代,这种伴随其成长的前卫零售方式更可谓是一种新的消费时尚1。自动售货机具有技术含量高、销售方式新、市场潜力大、商品货真价实等优点,决定了它广阔的发展。而且,它与电子购物等新型消费方式相通,能创造出巨大的商机。自动售货机在一些发达国家应用非常普遍,1930年,美国研制出世界第一台自动售货机,主要贩卖香烟。而自动售货机在我国的投入使用,也已经有20多年的时间,但一直没有全面普及。十九世纪三十年代,我国开始引进日韩等国的老旧机器投入市场,到如今的自主研发和生产贩卖机,我国自动售货机生产工厂,运营商家蹒跚起步,攻克了一道道技术,市场难题,已经在国内零售行业内打下了良好的基础,开辟了一条顺畅的零售之路。目前我国的自动售货机发展趋势已经日渐清晰,自动售货机市场已经慢慢由沿海发达地区向中西部欠发达地区拓展2。中国是世界上经济发展最快的国家之一,但是目前市场上仅置放了区区4万台自动售货机。许多企业家开始成立自动售货机公司,但还没有找到有效的定价模式。在许多公司当中,仅有一家是盈利的,因为它们占据了有利的地点,售货机功能齐全,商品的价位更容易让广大百姓接受,除了供应日常的食品之外,还提供了其他许多物品。由于中国自动售货机市场起步较晚,民众对自动售货机的接受度低,对其安全性存在种种质疑,这是中国自动售货机市场发展缓慢的主要原因。除此之外,贩卖机的功能单一,价格不具备市场竞争力,货币识别能力也比较差,这些都是影响消费者进行选择的重要因素。由于自动售货机在国内属于新鲜事物,民众对其充满了好奇之心,蓄意破坏者大有人在,自动售货机投入市场初期,在一些城市几乎遭到灭顶之灾。曾经有人将价值昂贵的自动售货机毁坏,只是为了拿去其中价格低廉的商品。可以说自动售货机在*种程度代表的是城市发达程度,同时也考验着人们的素质与涵养。现如今,伴随着自动售货机技术的成熟和市民对自动售货机的加深了解,新的消费方式也逐步促进了人们更高的文明素质,自动售货机的发展命运正在悄悄地发生着变化。原先,自动售货机由于不能识辨新币和功能比较单一,钱币有被卡的现象不时出现,安全性也不如现在,造成自动售货机被损坏的情况时有发生。如今,自动售货机可以做到同时制冷与加热,可以满足不同人群的消费需求3。安全,透明的展示窗可以起到良好的广告效果。货币识别技术也日趋完善,能够识别二十元以下(包括二十元)的所有币种,并且还能够识别货币的真假。控制系统更是方便智能,可以根据市场需求设定营业时间以及灯光的照明时间,节能效果极好。同时,还具备了一般的公务能力,统计,盘点,打印等功能是样样具备。1.2 自动售货机的研究意义随着中国经济的不断腾飞,居民收入显著增加,消费水平逐步攀升,社会智能化程度不断加深,人们的消费意识向智能高效,省时安全转变,自动售货机将以其独特的优势在经济腾飞浪潮中进一步在市场经济体制中获得更广泛的支持与欢迎。就其目前在中国的现状而言,自动售货机在中国的发展必然在未来几年中取得举足轻重的进步。时代的发展为自动售货机的普及奠定了良好的背景,然而自动售货机在中国的现状大大落后于欧美等发达国家。究其原因,第一,我国自动售货机的研发与普及较欧美国家起步晚是一个比较重要的因素,第二,国内自动售货机要普遍发展也有着相当的技术瓶颈。然而国内企业普遍缺乏自主创新能力,无法攻克自动售货机研究技术难题。自动售货机市场在未来几年将极度繁华,然而国内的硬件设备还不具有迎接繁华时代到来的基础。目前我国分布的自动售货机大都为国外引进,外国技术容易遏制我国相关技术的发展。自动售货机作为一种集成度极高的高新产品,要想在国内普及国产自动售货机,必须解决各类技术问题,才有可能赶超欧美,实现自动售货机在中国的普及目标4。1.3 自动售货机的国内外研究现状国内市场自动售货机仅仅引入不到十年,依然处于市场导入期,竞争相对来不是很激烈。自动售货机在国内处处充满着无限商机。截至2016年,国内自动售货机拥有量约为13万台,相对于14亿人口的国家,这个数字远远不能够满足消费者的需求。假如按中国现有的城市人口6.7亿人计算,平均每10000人中才有一台自动售货机。相对于东南亚,欧美西方发达国家拥有量是远远落后的。在很多的发达国家中,自动售货机的营业额可以占到零售业总额的百分之六十及以上,而且保持平均每年百分之五的增速。大好的市场趋势,促使着自动售货机生产商和运营商不断改革其生产技术和运营成本。目前国内制造商共有一百家之多,运营商更是多达五十家之多。此外,国外巨头企业也开始进入中国市场5。日本自动售货机市场已经趋于饱和,其国内自动售货机行业老大-富士电气集团,世界规模最大的日本自动售货机运营商-日本饮料公司,已经开始觊觎中国这片尚未完全被开发的市场。无独有偶,美国自动售货机行业巨头De Amertek集团、恒新集团等行业巨头也开始在投放它们的产品,中国企业迎难而上,困难重重。截至目前,相关企业已经开拓了四种销售模式。第一种是具有强大经济实力的专业运营商进行整体购买。第二种是合资或合作的形式,双方在*个市场上发掘出共同利益点,展开合作或者合资。利用资源优势,共同开拓市场。第三种是租赁给有意于自动售货机行业的创业者。第四种方式是生产厂家囊括生产,运营于一身。自动售货机的发展阶段如图1-1所示。图1-1另外,国内一些自动售货机企业为了提升产品竞争力,已经进入了自主创新模式。2004年,*和*出现了一种通过手机就可以购物的自动售货机。用户不必现场缴纳现金,只需要在交话费的同时将货款一并结算即可,这种购物方式虽说不能够颠覆传统付费模式,但也在一定程度上冲击了传统购物模式的霸主地位。与此同时,中国自动售货机市场进入全新的e时代购物新模式。新平台基于无线通讯技术实现实时库存管理系统、电子结算、LCD大屏互动广告等几大新型功能6。1.4 自动售货机设计的主要内容本文主要研究利用自动售货机来完成商品选择、投币计次、出货操作、led数码管显示等功能。主要完成了以下几个方面的工作:1. 对自动售货机的研究背景及其研究意义做了简短的分析。2. 对设计中用的EDA技术以及Verilog HDL语言、Quarter软件开发平台相关技术做了简单的介绍。3. 在对自动售货机的整个控制系统进行了研究梳理之后,提出了售货机的整体设计方案。4,对各个子模块功能的利用Verilog HDL语言程序的输入的方式进行了详细的分析设计,并且对每一个子模块进行了仿真测试,对其相对应的功能进行了验证。5,最后对整个系统进行了仿真,对整个自动售货机的功能进行了验证。. z.-第二章相关技术简介2.1 EDA技术简介 EDA(Electronic Design Automation)技术全称为电子设计自动化技术。这是一种高效的电子设计自动化工具,是随着集成电路和计算机技术飞速发展而应运而生的设计工具。它主要辅助设计以下三个方面的工作,一是集成电路设计,二是电子电路设计,三是印刷电路板设计。应用EDA技术,可以在计算机环境下进行模拟,检验,布图和测试。不仅可以节省开发成本,还可以很大程度的提升各种设计的质量。EDA技术的发展是与可编程逻辑器件,大规模集成电路设计,计算机技术和电子设计技术同步的。EDA技术的发展大致经历了以下三个阶段,第一个阶段是七十年代至八十年代的CAD(puter Aided Design)阶段,逻辑仿真,PCB设计以及IC版图编辑均须设计人员手工进行计算。第二阶段是八十年代中后期的CAE(puter Aided Engineering)阶段6。较CAD 阶段,增加了电路功能和结构设计,并且通过电气连接将二者连接在一起,实现了工程设计。第三阶段即九十年代的设计自动化阶段。此时的EDA技术已成一个完整的系统,设计师仅需给出工程的性能指标要求,EDA系统即可自动给出相关设计方案。到了21世纪,EDA技术更是发生了翻天覆地的变化。各项技术均日益成熟。现代电子设计都需要借助计算机上的EDA相关软件进行,手工设计的时代已然被颠覆。EDA技术依赖性能强大的计算机。借助开发平台例如Quartus,输入相应的硬件描述语言程序,便能够自动的完成逻辑编译,化简,分割,综合,布局布线以及仿真测试,实现电子线路系统功能。EDA技术概括起来有以下几个主要特点7。一是硬件语言设计输入。EDA系统输入方式包含硬件描述语言输入和原理图输入方式。而硬件语言输入方式在工程设计中占比在百分之七十以上。硬件语言描述方式的突出优点是:公开可利用性,设计与工艺无关,描述能力强,可以实现大规模的系统设计,设计的复用和继承性好。二是自顶向下的设计方法。其突出优点在于可以很大程度简化设计的复杂性,有利于在早期发现设计中的错误,提高设计的额一次成功率。三是逻辑综合与优化。其优点在于将高层次的系统设计自动的翻译成门级电路描述,实现了设计与工艺相互独立。四是开放性和标准性8。一个EDA系统只要建立了符合标准的开放式框架结构,就可以与其他工厂的电子设计工具一起工作。这样,设计者就可以充分利用相关资源,提高设计的质量。五是丰富的库。21世纪的EDA工具已经形成了一个较完整的库,包含了元器件图形符号库,元器件模型库,工艺参数库,标准单元库,可复用的电路模块库以及IP库等等9。完整的库文件可以很大程度的提高设计效率。2.2 Verilog HDL语言介绍 Verilog HDL和VHDL是目前世界上最流行的两种硬件描述语言(HDL:Hardware Description Language),被广泛地应用于基于可编程逻辑器件的项目开发。二者都是在20世纪80年代中期开发出来的,前者由Gateway Design Automation公司(该公司于1989年被Cadence公司收购)开发,后者由美国军方研发10。Verilog HDL由C语言发展而来,继承了C语言设计的简介,高效和易用的特点。既可以用Verilog HDL语言来进行各种层次的逻辑设计,也可以进行数字系统的仿真测试,时序分析和逻辑综合。Verilog HDL语言已经成为ASIC设计领域的标准。适合于算法级,寄存器传输级,门级和版图级灯各层次设计描述11。Verilog HDL语言主要功能有以下几个:一是可描述顺序执行和并行执行的程序结构。二是使用延迟程序来清晰的表达控制过程的起止时间。三是提供了丰富的条件和循环程序结构。四是提供了完整的一套表示组合逻辑基本原件的描述与。较之于VHDL语言,Verilog HDL语言属于RTL硬件描述语言,只适合RTL级以及更低层次的门电路级设计。然而所有的硬件描述语言设计,最终都要转换成门电路级才能够实现布局布线。所以Verilog HDL语言程序的综合过程较VHDL简单12。2.3 Quartus软件开发平台Quartus是由Altera公司开发的一种EDA工具,是经过A+plus、然后到MA*+plus,再到MA*+plus发展而来的。Quartus支持多种输入方式,例如原理图输入,硬件编程语言输入等,在使用Quartus软件时,它会将设计人员设计好的硬件编程语言或者原理图等自动转换目标文件,最后将目标文件下载到器件中。通过DSP Builder工具,Quartus能很好的跟Matlab、Simulink等结合起来,从而能够方便快捷的实现不同的DSP系统的设计13。因为有着简单直接的入口和很强的设计能力,以及使用灵活、方便快捷的优点,所以很受广大设计者的青睐14。Quartus软件有以下特点:l 支持多平台的设计输入,结合其处理和验证功能使其成为一个全集化的开发平台,让开发周期变短。l 是一个与结构无关的开发工具,Quartus通过把Cyclone、APE*、Mercury等设计环境转化为所需要的格式,真真正正的成为唯一一个与结构无关的开发工具。l 具有很具有全面的可设计开发资源,是一个集系统设计、软件开发、逻辑设计于一体的综合性开发平台。Quartus的编程设计流程图所示:图2-1. z.-第三章总体设计方案3.1 设计要求 1,自动售货机可售卖两种饮料,包括cola型和diet型。 2,饮料价格均为两元,顾客可投入两个一元硬币进行购买。 3,购买途中,若按下取消键,自动售货机将无条件退币。 4,选中饮料后,若投币数量等于饮料价格,指示灯将被点亮。 5,退币过程中以数码管显示的数字来指示退币数量。 6,机器包含复位电路。3.2 总体方案设计根据图3-1功能流程图,可具体将售货机分成如下几个模块:投币计次功能模块,Led灯显示功能模块,无条件取消功能模块以及货品选择出货模块。在此图3-1方案中,自动售货机系统以FPGA作为处理和控制的核心,能够完成货物的信息存储,进程控制,硬币处理和显示等功能。系统根据用户选择的商品价格,并且能够完成对用户投入硬币与货物价格的数量比较,如用户投入金额大于等于商品价格,则给出商品并计算找零,若金额不足则发出警告信号并退币,取消本次操作。在购买过程当中,若用户按下取消键,则系统无条件退币并通过led显示退币数量。本设计包含两个输出口OUT与OUT1。OUT输出口代表商品输出情况。OUT1代表找零退币情况,输出产生上升沿脉冲即认为输出完成。用三位LED灯显示商品输出情况,位一位二分别代表cola与diet饮料的输出状态。. z.-位3,4显示投入的硬币数量。a为硬币输入,系统通过信a产生的上升沿对硬币金额进行累加。由此根据金额总量输出对应商品。对整个系统的端口设置如下:1,输入端口:1024Hz时钟输入,a硬币输入,reset取消,复位输入端口,cola,die饮料选择输入端口。2,输出端口:out饮料输出端口,out1退币指示端口,数码管显示端口,led商品指示输出,投币数量显示。3,内部可读输出端口:a1投币计次信号。确定了系统的输入输出端口之后,以下详细介绍系统内部工作原理:当系统受到reset信号之后,输入输出端口清零。当系统开始接受投币之后,投币计次电路开始工作,系统每接受一个硬币,a1累加一次,直至累加至2.当投币累加两次之后,开始选择饮料类别。在选择一种饮料之后,等待下一个时钟信号上升沿,out输出信号输出为1.当有饮料输出时,led的低二位将显示为何种饮料被售出,高二位将显示投币数量。在售卖过程中,若顾客中途取消交易,系统收到reset信号,七段数码管将显示应退币数量。输出out也相应的转为零。. z.-第四章模块设计及仿真测试4.1投币计次功能的实现系统在1024Hz时钟作用下对投币信号a上升沿脉冲进行累加寄存于a1当中,实现累加功能,流程图如图4-1所示。当a等于1时,寄存器a1进行加一操作,若a不等于1,则,不对a进行任何操作。?图4-1程序如下:if(a=1) /if语句判断是否投入一元硬币。a1=a1+1; /一元硬币投入,a1在原来的值得基础上加一。else a1=a1; /if语句不满足,则对a1不进行任何操作。仿真结果如图4-2所示图4-2由图4-2可知当a出现高电平时,a1在时钟信号下一个clk上升沿到来时进行加一操作,因为所设计的商品价格均为两元,故a1设为两位寄存器类型数据即可。由Quqrtus软件内部生成的RTL电路图如图4-3所示:图4-34.2 led与数码管显示功能的实现显示功能主要体现在对硬币数量的显示,以及售出商品对应的商品led显示。因开发板至包含4位led。故改选用七段数码管对退币金额数量进行显示。若选择cola型饮料,且金额满足出货条件,则led灯第一位及第四位被点亮。若选择diet型饮料,则led第二位以及第四位被点亮。若输入金额为零,则led 全灭。若输入金额为一,则led第三位点亮。若购买途中交易被取消,则一位七段数码管显示退币金额。程序流程图如图4-4所示。显示功能模块程序如下:if(a1=2)/a1满足商品价格,系统根据选择的商品点亮不同的led灯begincase(cola,diet)2b01:led=4b1001;/选择diet型饮料时,四位led显示1001。2b10:led=4b1010;/选择cola型饮料时,四位led显示1010。default:led=4b1000;/其他不合法情况,四位led显示1000。endcase?图4-4如果选择cola型饮料,则当a1满足商品价格两元时,led显示10001;选择diet型饮料则显示1010。若不选择饮料,投币一元显示0100,投币两元显示1000。其他情况均显示0000。 Cola型饮料仿真结果如图4-5所示,四位led显示1010。图4-5Diet型饮料仿真结果如图4-6所示,四位led显示1001。图4-6投币不选择饮料时的led显示如图4-7所示,当a出现一个上升沿时,四位led显示0100,当a出现第二个上升沿时,四位led显示1000。图4-7由Quqrtus软件内部生成的RTL电路图如图4-8所示:图4-84.3无条件取消功能的实现顾客在购买商品的过程中,如果按下了reset按键,自动售货机系统将转入无条件退币程序。退币过程中,七段数码管将显示应退还的硬币数量。若按下reset按键之前已投币两元,则在数码管上显示数字2。若在按下reset按键之前已投币一元则显示数字1。其流程图如图4-9 所示。实现程序如下:if(reset)/检测reset按钮是否被按下,条件为真,执行以下程序begincase(a1)0:duan*uan=8b11111100;/按下reset按钮时,a1值为2,数码管显示数字21:duan*uan=8b01100000;/a1值为1,数码管显示数字12:duan*uan=8b11011010;/a1值为0,数码管显示数字0default:duan*uan=8b11111111;/其他不合法情况七段数码管全亮endcase?图4-9投币两元后按下reset按钮的仿真波形如图4-10所示,七段数码管的值为11011010,其值为2:图4-10投币一元后按下reset按钮的仿真波形如图4-11所示,数码管显示阿拉伯数字1,七段数码管为01100000:图4-11不投币按下reset按钮后的仿真波形如图4-12所示,七段数码管的值为11111100,其值为0:图4-12其他不合法数值(例如a1值为3时)得显示如图4-13所示,七段数码管的值为11111111:图4-13由Quqrtus软件内部生成的RTL电路图如图4-14所示:图4-144.4 货品选择出货功能的实现当a1满足出货条件时,若选择diet型饮料,输出口out输出一个正脉冲。且四位led数值为1001。若选择cola型饮料,输出口out输出一个正脉冲。四位led数值为1010。主体程序如下:if(a1=2)/若a1满足出货条件,则执行下列程序begincase(cola,diet)01:begin out=1;led1:0=2b01;end/选择diet型饮料,out=1,led1:0=01;10:begin out=1;led1:0=2b10;end/选择cola型饮料。Out=1,led1:0=10default:begin out=0;led1:0=2b00;end/其他不合法情况,out=0,led1:0=00endcaseendelseout=0;led1:0=2b00;/a1不满足出货条件,out=0,led1:0=00流程图如图4-15所示图4-15选择diet型饮料,当a1满足出货条件时的仿真结果如图4-16所示,out输出一个正脉冲:图4-16选择cola型饮料,当a1满足出货条件时,out产生一个正脉冲,且led1:0=10,其仿真结果如图4-17所示:图4-17由Quqrtus软件内部生成的RTL电路图如图4-18所示:图4-184.5 抖动消除电路的实现由于按键触点存在弹性,当按键被按下时,按键并不会马上稳定的接通,而是会出现一段时间的抖动,在断开时也不会立即断开,情况也是如此。一般抖动时间为5ms10ms,所以在做按键检测时必须加入消抖程序15。一般按键消抖有两种方案:一是延时重采样,二是持续采样。这里采用延时重采样,当检测到key_in键被按下时,延时20ms后再次检测该按键与20ms之前的结果相与之后若为1,则判定该按键被按下,相关程序将在附录中列出16。. z.-第五章总体功能的测试仿真总体功能的实现是指当所有部分功能综合在一起构成一个整体程序,总体实现所有设计目标功能。在以下内容中给出其仿真结果,总体程序见附页。选择diet型饮料,a1=2满足出货条件,四位led灯显示1001,其仿真结果如图5-1所示。图5-1投入两个硬币之后按下reset按键后,数码管显示退币两元。仿真结果如图5-2所示。图5-2投入一个硬币之后按下reset按键,数码管显示退币一元,输出out为零,仿真结果如图5-3所示。. z.-图5-3投入金额不足时,选择cola型饮料,输出out为零,led显示0100。仿真波形如图5-4所示。图5-4同时选择两种饮料时的仿真图如图5-5所示,有图可知,当同时选择两种饮料时,即使投入金额满足出货条件,输出out依旧为零,且led显示为1000,即无任何饮料杯售出,故满足一次仅限购买一种饮料的设计要求。图5-5由Quqrtus软件内部生成的RTL电路图如图5-6所示:图5-6. z.-结论随着计算机技术和通信技术的发展,自动售货机的发展方向也必将向着更加智能化和人性化转化,从自动售货机基础功能出发,本文在分析了自动售货机的功能特点后,以Quartus为软件开发平台,通过EDA方法,使用Verilog HDL语言编程完成了自动售货机控制系统的设计,在整个设计工作当中,主要完成了以下两个方面的工作;(1) 对自动售货机的发展背景及其现状及研究意义进行了总结和归纳,经过总结可以发现高效智能,节能环保是现在起高科技设备发展的一个重要方向,而使用FPGA器件进行系统开发,可以很大程度的节约开发成本,运营成本,并且真正的实现了高效智能的系统效果,相比于单片机开发,FPGA器件在其器件集成度以及编程的高效性上明显高于现目前的其他开发方式,可以说,电子行业未来将向着FPGA开发倾斜。完成了整个系统方案的设计,为了简化系统设计,特将系统划分为五个模块,在此基础上分别对这五个模块进行了功能设计。(2) 利用Verilog HDL语言输入的方式,对子模块进行了详细的软件的设计,并且分别完成了相应的功能仿真测试,并且对每个功能模块都进行了仿真结果进行分析。最后在综合各个模块的基础上,对整个自动售货机系统进行了仿真,通过各个模块仿真测试以及整体系统的仿真测试结果可以看出,此次自动售货机的设计工作达到了最初的所有设计要求,实现了各项功能要求。此次设计的自动售货机是初步具备售货功能的简易售货机,其功能较单一,只能对代之以按键的硬币投入进行识别,而且售卖的商品也只有两种,但是这次设计也能收获非常不错的影响,可以初步认识自动售货机的工作流程,FPGA开发的流程,为以后加入更加丰富的货币识别技术,丰富的商品售卖,创造更具吸引力的国产自动售货机打下良好基础。通过对整个系统的功能测试发现基于FPGA器件的自动售货机的工作性能是比较稳定和可靠的,而且操作简单易掌握,实用性很强。由于经验不足和时间原因,本文中对该自动售货机系统的设计也存在了一些不足之处。在本系统中,只设定了两种商品,商品选择功能不完善;购买商品后的结算方式比较单一,只能现金支付,缺少例如IC卡支付,线上支付等智能支付方式。所有这些问题会对在相关知识进行更深入的学习后逐一解决,努力对该控制系统进行改进,使其更加完善。. z.-参考文献(References)1 2016年版中国自动售货机市场现状调研与发展趋势分析报告J,1672385,20152 孔维东,邸国强.利用VERILOG HDL实现自动售货功能J,中国科技投资,20133 *可儿.基于Verilog HDL自动售货机系统设计N,陇东学院学报,20124 王雯隽.基于Verilong-HDL自动售货机的设计与实现N,微型电脑应用,20055 陈悦.自动售货机控制器的设计与实现J,*电脑,20156庄晓璐.全新E时代自助售货机也可刷卡购物J,*信息化,2006,1672-8424,P84-P85.7 潘松等.EDA技术与VHDLM,:清华大学8 黄智伟FPGA系统设计与实践M,:电子工业,20049 孙涵芳.可编程逻辑器件M,:航空航天10 潘松,黄继业.EDA技术实用教程(第二版)M,:科学11 谭会生.EDA技术基础M,*:*大学12 *亦华. 数字电路EDA入门VHDL程序实例集M,:邮电大学13薛萍,陈海燕,裴树军.基于ISP芯片的可编程数字频率计的设计J,电测与仪表,200214 卢毅,赖杰.VHDL与数字电路设计M,:科学技术15马俊兴,吕宽州 .EDA技术的应用J,*教育学院学报(自然科学版), 200116门良.基于FPGA的自动售货机N,中国科技博览,201117邹存名.基于DVI协议动态全彩LED大屏幕发送卡设计与实现,*理工大学硕士论文,200718陈测库.高效Viterbi译码器的结构与实现,*电子科技大学硕士论文,2005. z.-致谢回首大学四年,虽有遗憾却也无悔。在四年的求学期间,非常感谢身边一群诲人不倦的老师的引导以及真诚、热心助人的同学的帮助,大学四年过得既充实又开心。在此,要首先感谢在本次毕业设计过程中从选题开始到论文的完成一直给我很大帮助的老师,正是因为段老师耐心的帮助,我才能更好的完成课题中的设计及论文的编写。同时,也要感谢本次在毕业设计过程中给与我帮助的其他老师和同学。最后,祝愿所有老师身体健康,桃李天下;祝愿所有同学前程似锦,工作顺利。大学生活即将结束,我也即将步入社会这个人生大舞台,要学习的东西还有很多。在以后的日子里,我将会更加的努力去面对生活中所遇到的各种挑战。. z.-附录module zongti(a,clk,led,reset,cola,diet,out,duan*uan);input a,clk,reset;output led;output duan*uan;reg1:0a1;reg3:0led;reg7:0duan*uan;output out;reg out;input cola,diet;always (posedge clk)beginif(a=1)begina1=a1+1;endelsebegina1=a1;endendalways (posedge clk)beginif(a1=2)begincase(cola,diet)01:begin out=1;led=4b1001;end10:begin out=1;led=4b1010;enddefault:begin out=0;led=4b1000;endendcaseendelse if(a1=1)begin out=0;led=4b0100;endelse if(a1=0)beginout=0;led=4b0000;endbeginif(reset)begincase(a1)0:duan*uan=8b11111100;1:duan*uan=8b01100000;2:duan*uan=8b11011010;default:duan*uan=8b11111111;endcaseendendendendmodulemodule *iaodou(clk,key_in,key_out)17;input clk;input key_in;output key_out;reg17:0count_high;reg17:0count_low;reg key_reg;assign key_out=key_reg;always (posedge clk)beginif(key_in=1b0)count_low=count_low+1;elsecount_low=18d000000;endalways (posedge clk)beginif(key_in=1b1)count_high=count_high+1;elsecount_high=18d00000;endalways (posedge clk)begincase(count_high)18d51200:key_reg=1b1;default:key_reg=key_reg;endcasecase(count_low)18d51200:key_reg=1b0;default:key_reg=key_reg;endcaseendendmodule. z.
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!