基于FPGA的时钟提取电路的设计说明

上传人:be****l 文档编号:71681981 上传时间:2022-04-07 格式:DOC 页数:23 大小:1.14MB
返回 下载 相关 举报
基于FPGA的时钟提取电路的设计说明_第1页
第1页 / 共23页
基于FPGA的时钟提取电路的设计说明_第2页
第2页 / 共23页
基于FPGA的时钟提取电路的设计说明_第3页
第3页 / 共23页
点击查看更多>>
资源描述
课 程 设 计 说 明 书课程名称:EDA技术课程设计题 目:基于FPGA的时钟提取电路的设计学 院:后备军官学院专 业:信息工程年 级:2010级学 生:成良学 号:9128指导教师:卿朝进完成日期:2013年7月 7日20 / 23基于FPGA的时钟提取电路的设计摘 要:在数字通信系统中,同步技术是非常重要的,而位同步是最基本的同步。位同步时钟信号不仅用于监测输入码元信号,确保收发同步,而且在获取祯同步、群同步与对接收的数字码元进行各种处理的过程中,也为系统提供了一个基准的同步时钟。 随着可编程器件容量的增加,设计师倾向于把位同步电路设计在CPLD/FPGA芯片部。因此,本文采用Quartus II软件设计了一种新型的位同步提取电路,对电路进行了仿真试验,并使用Altera的ACEX 1K系列FPGA芯片EP1K10TC100_3予以实现。关键词:时钟提取,同步,FPGAAbstract:In digital communication systems, synchronization is very important, bit synchronization is the most basic synchronization. Bit synchronization clock signal is not only used to monitor the input symbol signal, to ensure the transceiver synchronization and frame synchronization in the acquisition, synchronization and the group receiving the digital code element to the process of treatment and also provide the system with a benchmark of the synchronous clock . With the increased capacity programmable devices, designers tend to bit synchronization circuit in the CPLD / FPGA chip. Therefore, the use Quartus II design software, a new bit synchronization extraction circuit, the circuit is simulated by computer and use Alteras ACEX 1K series FPGA chip EP1K10TC100_3 be achieved.Keywords:Clock Extraction,Synchronization,FPGA目录1前言11.1设计背景11.2FPGA技术简介11.3Quartus简介21.4必备条件22总体方案设计32.1方案比较32.1.1方案一: 基于超前滞后型锁相环的位同步提取电路32.1.2方案二:采用跳变沿捕捉和计数器结构的位同步电路42.1.3方案三:硬件开环位同步电路 FPGA的实现52.2方案论证52.3方案选择63单元模块设计63.1各单元模块功能介绍与电路设计63.1.1跳变沿捕捉模块设计63.1.2状态寄存器模块设计73.1.3可控计数器模块设计83.1.4整体电路模块设计103.1.5供电电路113.1.6有源晶振电路123.1.7JTAG下载电路123.2FPGA器件选择133.2.1ACEX 1K器件133.2.2配置器件选择134系统调试144.1调试环境144.2硬件调试144.2.1硬件配置电路144.2.2硬件配置和调试154.3系统能实现的功能155总结与体会176辞(致)18参考文献19附录201 前言1.1 设计背景现代通信系统中异步串行数据进行发送和接收,就必须使其接收与发送的码元同步,位同步时钟信号不仅可用来对输入码元进行检测以保证收发同步,而且在对接收的数字码元进行误码率测试与各种处理过程中,也可以为系统提供一个基准的同步时钟。本文介绍的位同步时钟的提取方案,原理简单且同步速度较快。整个系统采用VerilogHDL语言编写,并可以在FPGA上实现。1.2 FPGA技术简介FPGA(Field Programmable Gate Array)即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)、可编程逻辑器件PLD(Programmable Logic Device)等可编程器件的基础上进一步发展的产物。它是作为专用集成电路ASIC(Application Specific Integrated Circuit)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA能完成任何数字器件的功能,上至高性能CPU,下至简单的74系列电路,都可以用FPGA来实现。工程师可以通过传统的原理图输入法,或是硬件描述语言自由设计一个数字系统。通过软件仿真,我们可以事先验证设计的正确性。在PCB完成以后,还可以利用FPGA的在线修改能力,随时修改设计而不必改动硬件电路。使用FPGA来开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可行性。LUT查找表DQSETCLRQ输入变量输出图1 FPGA的逻辑块结构示意图(LUT加触发器)1.3 Quartus简介Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以与AHDL(Altera Hardware Description Language)等多种设计输入形式,嵌自有的综合器以与仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以与Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。基于Quartus II进行EDA设计开发的流程如下图,包括以下步骤:1.设计输入;2.编译;3.仿真;4.编程与验证。设计输入编译仿真与定时分析编程在线测试修改设计图2 Quartus II设计开发流程1.4 必备条件装有Quartus 6.0以上版本的计算机、ByteBlaster并口下载电缆、EPC2配置器件2 总体方案设计目前在FPGA上常用的位同步方案可分为两类:一是采用锁相环的闭环相位调整电路,二是采用开环结构的位同步电路。下面用三个典型的设计电路来讨 论这三种方案的优缺点。2.1 方案比较2.1.1 方案一: 基于超前滞后型锁相环的位同步提取电路方案一原理框图如图3所示。相位控制字频率控制字函数发生器相位寄存器相位累加器 相位相加器图3 方案一原理框图方案一的简单表述。这种电路采用添/扣门结构,如图所示,每输入一个码元后,根据鉴相器输出是超前还是滞后,通过反馈回路控制的添/扣门来调整相位,使之逼近输入码元的相位。为了提高精度,这种方案只能采用更短的调整脉冲,一旦失步,就需要通过反馈回路重新调整。2.1.2 方案二:采用跳变沿捕捉和计数器结构的位同步电路方案二原理图见下状态寄存器跳变沿捕捉模块可控计数器Data_inClockclearkPulsc_out图4 方案二原理框图本系统由一个跳变沿捕捉模块、一个状态寄存器和一个可控计数器共三部分组成,整个系统的原理框图如图4所示,其中data_in是输入系统的串行信号,clock是频率为串行信元速率2N倍的高精度时钟信号,pulse_out是系统产生的与输入串行信号每个码元位同步的脉冲信号,即位同步时钟。跳变沿提取电路的作用是,当产生一个边沿脉冲时,它直接反映了输入信号的真实相位。以它为基准,就可以有效地提取出与输入信号同步的时钟。时钟同步的原理就是利用这个边沿脉冲清零计数器,输出反映输入码元相位的一个高精度时钟源周期的短脉冲。图中状态寄存器保证了在接收码元出现连“0”或是连“1”时仍然会有固定的反映码元时钟的短脉冲输出。可见,这种设计与数字锁相环法相比,优点主要是可以快速提取位同步脉冲,并进行实时输出。另外,这种电路结构要更节省硬件资源。该方案实现位同步的基本原理是利用输入码元的跳变沿脉冲作为计数器的清零输入信号,这里高精度时钟的频率为F,码元速率为f,取F=2Nf=2N/T(T为输入的不归零码元的宽度)。原理图中的计数器为N进制自动增加计数器。当输入清零信号后,计数器输出翻转。当输入码元出现连“0”或是连“1”时,一个码元的长度为2NT。由于计数器为N进制,计数器的计数值回到0时,计数器的输出仍然翻转,占空比为:NT/2NT=50%。这样就保证了一个输入码元的宽度对应了占空比为50%的时钟信号,即实现了输入码元与计数器输出CLKOUT时钟的同步。2.1.3 方案三:硬件开环位同步电路 FPGA的实现RxRckSMith使能清零39分频52分频16计数2计数五选一FDX8Rx1CECLCnt1Cnt24Rx1图5 方案三原理框图图 5是突发数据接收系统的硬件开环位同步电路 FPGA简要框图,它主要包含获取同步时钟。该模块适合 5种数据速率 ,输入数据总线信号为 X,输出同步时钟为 RCK。其部信号 RX1为施密特整形后的基带数字序列,设输入驱动时钟为79 .872MHz,经 DCM倍频后输入两模值 Cnt1、Cnt2分别为 39和 52的分频器, Cnt1输出256k, 512k, 1M, 2M的数据时钟 ,分别对应16计数器的高低 4位 ,Cnt2输出 1 . 536M的数据时钟。硬件开环位同步电路提取位同步信号时 ,相位误差e的产生受发送数据二进制序列分布的影响 ,因此相位误差需要从概率意义上来分析 ,由于在发射端通常加了交织扰码 ,其出现长时间二进制序列不变的概率很低。设位同步时钟周期为 Tb ,时钟精度为 Pe ,则相位误差为e = 2( n - 1) TbPe (1)式中 n为数据序列电平连续不变的码元个数。由式 (1)可以看出,相位误差受传输数据二进制序列变化快慢的影响,当 n = 1,即在二进制序列变化得最快时,所对应的相位锁定误差最小。2.2 方案论证方案一中:每一个超前和滞后脉冲仅能调整一步,如果接收码元出现连“0”或是连“1”的情况,锁定时间会很长,使其同步建立时间和调整精度变得相互制约。尽管有此缺点,但由于这种结构具有失锁后的自我调节性,因此,码元消失或是码元相位出现抖动时,同步脉冲不会出现较大变化,仍然可以输出。方案二中:主要是可以快速提取位同步脉冲,并进行实时输出。另外,这种电路结构要更节省硬件资源,所对应的相位锁定误差较小。该系统基于开环结构,具备了开环结构位同步提取电路的快速同步特点。如果输入不出现较大的相位抖动,输出时钟的相位可以实时地反映输入码元的相位。且具有判断输入码元脉冲边沿抖动的功能,因此也具有锁相环的自适应性。由以上分析可知,当输入码元出现抖动而使得输出时钟没有和码元对齐之后,下一个码元跳变沿就会重新对齐。这种自适应性比锁相环的自适应反应更迅速。方案三中:FPGA硬件闭环电路编程具有灵活性比软件差、时序复杂、调试难度大等缺点。从前面的分析可知 ,硬件开环位同步电路能够较好地发挥 FPGA高速数据处理能力的优势 ,有效地降低了调试难度 ,适于FPG A片上系统的同步设计。该位同步方案的应用实现了无线数字接收中位同步电路从软件模块向硬件模块的转化 ,大幅度提高了位同步对高速数据接收的适应能力 ,为无线短时突发数据信号接收的位同步提供了一种适用的硬件实现方案。2.3 方案选择基于实用,硬件简单,且所对应的相位锁定误差较小,易于编程实现的特点,我选择选择方案二3 单元模块设计3.1 各单元模块功能介绍与电路设计本系统由一个跳变沿捕捉模块、一个状态寄存器和一个可控计数器共三部分组成,整个系统的原理框图如图4所示,其中data_in是输入系统的串行信号,clock是频率为串行信元速率2N倍的高精度时钟信号,pulse_out是系统产生的与输入串行信号每个码元位同步的脉冲信号,即位同步时钟。3.1.1 跳变沿捕捉模块设计1、模块的具体功能 跳变沿捕捉模块的输入也就是整个的输入data_in和clock。当data_in发生跳变时,无论是上升沿或是下降沿,捕捉模块都将捕捉所发生的这次跳变,并产生一个脉冲信号clear,这个clear信号所反应的就是输入信号发生跳变的时刻。然后以它为基准,就可以有效地提取输入串行信号的同步时钟。2、模块的具体实现(代码)module pcheckcapture (pcout,int0,pcclk);input pcclk,int0;output pcout;reg pctemp1,pctemp2;always (posedge pcclk) begin pctemp1=int0; pctemp2=pctemp1;endassign pcout=pctemp1pctemp2;endmodule3、模块的实现效果(仿真波形)图6 跳变沿捕捉模块电路RTL视图图7 跳变沿捕捉模块电路仿真图其中Int0为串行输入信号,PCclk为输入系统的高精度时钟信号,本模块的输出Pcout对应于图7中的clear信号,它同时又接入状态寄存器模块的Psclr和可控计数器模块的clr。3.1.2 状态寄存器模块设计1、 模块的具体功能状态寄存器有两个输入,分别接跳变沿捕捉模块的输出clear和可控计数器的输出pulse_out,当clear信号的上升沿到来时,此状态寄存器的输出k被置1,之后在pulse_out和k信号本身的控制下,k在pulse_out和k信号上产生一个脉冲之后被置0,这个k为一般连接到可控计数器的控制端。2、 模块的具体实现(代码)(其中输入信号Psclr来自跳变沿捕捉模块,另一个输入信号Pss则来自可控计数器的输出s)module pchecksreg (psout,pss,psclr);input pss;input psclr;output psout;wire pstemp; reg psout;assign pstemp=(pss & psout);always (posedge pstemp or posedge psclr) begin if (psclr=1b1) psout=1; else psout=psout; endendmodule3、 模块的实现效果(仿真波形)图8 状态寄存器模块仿真图3.1.3 可控计数器模块设计1、 模块的具体功能整个系统工作时,当输入信号data_in发生跳变时,跳变沿捕捉将可以捕捉到这次跳变,并产生一个脉冲信号clear,此clear信号可以将可控计数器的计数值清零,同时将状态寄存器的输出k置1,并送入可控计数器中,以使计数器进行模块为N-2的计数,待计满后,便可输出脉冲信号pulse_out,此信号一方面可作为整个系统输出的位同步时钟信号,另一方面,它也被接进了状态寄存器,以控制其输出k在计数器完成N-2的计数后就变为0,并在没有clear脉冲信号时使K保持为0,从而使可控计数器的模保持为2N,直到输入信号data_in出现新的跳变沿并产生新的clear脉冲信号,由以上原理可见,在输入信号为连“1”或连“0”的情况下,只要系统使用的时钟信号足够精确,就可以保证在一定时间里输出满足要求的位同步时钟,而在输入信号发生跳变时,系统又会捕捉下这个跳变沿并以此为基准输出位同步时钟。2、 模块的具体实现(代码)(其中三个输入信号k、clr、clk分别对应于k、clear、clock,输出信号s对应于pulse_out。)module pcheckcounter (s,k,clr,clk);input k,clr,clk;output s;wire k;reg s;reg 7:0cnt;parameter N=8b00000111;always (posedge clk or posedge clr)begin if(clr=1) begins=0;cnt=0;endelse beginif(k=0) beginif(cnt=2*N-1) begincnt=0;s=1;endelse begincnt=cnt+1;s=0;endendelse beginif(cnt=N-2) begincnt=0;s=1;endelse begincnt=cnt+1;s=0;endendendendendmodule3、 模块的实现效果(仿真波形,RTL视图)图9 带清零判断N进制计数器模块电路RTL视图图10 带清零判断N进制计数器模块电路仿真图:3.1.4 整体电路模块设计1、 模块的具体功能状态寄存器有两个输入,分别接跳变沿捕捉模块的输出clear和可控计数器的输出pulse_out,当clear信号的上升沿到来时,此状态寄存器的输出k被置1,之后在pulse_out和k信号本身的控制下,k在pulse_out和k信号上产生一个脉冲之后被置0,这个k为一般连接到可控计数器的控制端。2、 模块的具体实现(代码)module pchecktop (ptout,ptint,ptclk);output ptout;input ptint,ptclk;wire clear,k;reg ptout;pcheckcapture a (clear,ptint,ptclk);pcheckcounter b (ptout,k,clear,ptclk);pchecksreg c (k,ptout, clear);endmodule3、 模块实现效果(仿真图,RTL视图)图11 整体电路模块仿真波形图12 整体模块电路RTL视图3.1.5 供电电路图13供电电路EPF10K10LC84-4的工作电压为5V,即可直接用可调式直流电压源的固定5V档供电,或其他设配。供电电路如图13所示。3.1.6 有源晶振电路图14 有源晶振电路采用有源晶振作为时钟信号源,有源晶振信号质量好,比较稳定,而且连接方式比较简单。有源晶振电路如图14所示。3.1.7 JTAG下载电路图15 JTAG下载电路JTAG下载电路主要用来构建软件与硬件连接的平台,JTAG下载电路如图15所示。3.2 FPGA器件选择3.2.1 ACEX 1K器件Altera的ACEX 1K系列为2.5V低成本FPGA,集成度在3万到几十万门之间,基于查找表结构;采用0.18m、6层金属连线的SRAM工艺制作,具有嵌入式存储块,芯片功耗低。本设计中,采用ACEX1K EP1K10TC100_3器件,该器件有576个逻辑器件,3个嵌入式RAM块(每块容量4KB)。该器件基于SRAM,结合查找表(LUT)和嵌入式阵列块(EAB)提供了高密度结构,可提供10000 到100000 可用门,每个嵌入式阵列块增加到16位宽可实现双端口,RAM 位增加到49125 个。其多电压引脚可以驱动2.5V、3.3V、5.0V 器件,也可以被这些电压所驱动;双向I/O 引脚执行速度可达250MHz。该器件还应用Altera 专利技术进行了重要的生产改进,进一步降低了器件的成本,提高了产品的性能价格比。因此,ACEX 1K 器件可用来实现许多逻辑复杂、信息量大的系统。但是在器件操作过程中,ACEX 1K 系列器件的配置数据存储在SRAM 单元中,由于SRAM的易失性,配置数据在每次上电时必须被重新载入SRAM。3.2.2 配置器件选择EPC2具有Flash配置存储器,可用来配置5.0V、3.3V、2.5V器件。通过置的IEEE Std. 1149. 1 JTAG接口EPC2可以在5.0V和3.3V电压下进行在系统编程(ISP)。 系统编程后,调入JTAG配置指令初始化ACEX 1K器件。EPC2的ISP能力使ACEX 1K器件的初始和更新更容易。当用EPC2配置ACEX 1K器件时,在配置器件的部发生带电复位延迟,最大值为200ms。Alterat公司的Quartus和MAX+PLUS软件均支持配置器件的编程,设计中软件自动为每一个配置器件产生POF。4 系统调试4.1 调试环境本实验,采用Quartus 7.2自带的仿真模块,采用逐级调试,最后利用顶层文件总体调试方法,实现对设计的验证性调试,仿真波形如下图16 波形仿真图4.2 硬件调试4.2.1 硬件配置电路图17 ACEX 1K器件和一片EPC2的连接关系EPC2的nCS和OE引脚控制DATA输出引脚的三态缓冲器,使能地址计数器和EPC2的振荡器。nCS引脚控制配置器件的输出。当OE引脚接低电平时,不论nCS为何状态,地址计数器复位,DATA引脚输出为高阻状态。当OE引脚接高电平时,如果nCS保持高电平,则计数器停止计数,DATA引脚保持高阻状态;如果nCS接低电平,则计数器和DATA引脚正常工作。EPC2允许用户将nINIT_CONF引脚与PLD器件的nCONFIG引脚相连来初始化PLD器件的配置。EPC2的DATA引脚与ACEX 1K系列器件的DATA0或DATA引脚相连。存储在EPC2器件中的数据在其部时钟的控制下顺序输出到DATA脚,然后在控制信号的控制下输出到CPLD器件的DATA0或DATA引脚。(图中虚线为多片级联时使用)4.2.2 硬件配置和调试EPC2的nCS和OE引脚控制DATA输出引脚的三态缓冲器,使能地址计数器和EPC2的振荡器。nCS引脚控制配置器件的输出。当OE引脚接低电平时,不论nCS为何状态,地址计数器复位,DATA引脚输出为高阻状态。当OE引脚接高电平时,如果nCS保持高电平,则计数器停止计数,DATA引脚保持高阻状态;如果nCS接低电平,则计数器和DATA引脚正常工作。EPC2允许用户将nINIT_CONF引脚与PLD器件的nCONFIG引脚相连来初始化PLD器件的配置。EPC2的DATA引脚与ACEX 1K系列器件的DATA0或DATA引脚相连。存储在EPC2器件中的数据在其部时钟的控制下顺序输出到DATA脚,然后在控制信号的控制下输出到CPLD器件的DATA0或DATA引脚。当配置数据的大小超过一片EPC2的容量时,可以采用多片级联的方法。这时候器件的nCASC和nCS引脚做器件间的握手信号。用级联EPC2 配置ACEX 1K器件时,EPC2的操作与其在级联链中的位置有关。当级联链中的第一个即主EPC2加电或复位,且nCS脚为低电平时,主EPC2控制配置进行。配置过程中主EPC2向其后的从属EPC2和CPLD器件提供所有的时钟脉冲,并向PLD器件提供第一个数据流。当主EPC2中配置数据发送完毕,器件的nCASC脚变为低电平,使第一个从属EPC2的nCS脚变为低电平,从而使从属EPC2向外发送配置数据。每一片EPC2中数据全部输出且nCASC引脚为低电平时,器件的DATA引脚置为高阻状态以避免和其他配置器件发生竞争。一旦所有的配置数据传送完毕,且基于查找表的CPLD器件CONF_DONE脚驱动主EPC2的nCS脚为高电平,主EPC2器件将额外增加16个时钟周期来初始化CPLD器件。随后主EPC2器件进入空闲状态。当需要另外加入EPC2器件时,可以将欲加入的EPC2的nCASC引脚和级联链中的从属EPC2的nCS相连,DCLK、DATA和OE引脚并联。4.3 系统能实现的功能在工作期间,输入信号有一次跳变后,系统出现连“1”连“0”,或信号中断时,此系统仍然能够输出位同步时钟脉冲,此后,只要输入信号恢复并产生新的跳变沿,系统仍可以调整此位同步时钟脉冲输出而重新同步,此系统中输入的时钟信号频率相对码元速率越高,同步时钟的位置就越精确,而当输入码元速率改变时,只要改变本系统中的N值系统就可重新正常工作。整个系统工作时,当输入信号data_in发生跳变时,跳变沿捕捉将可以捕捉到这次跳变,并产生一个脉冲信号clear,此clear信号可以将可控计数器的计数值清零,同时将状态寄存器的输出k置1,并送入可控计数器中,以使计数器进行模块为N-2的计数,待计满后,便可输出脉冲信号pulse_out,此信号一方面可作为整个系统输出的位同步时钟信号,另一方面,它也被接进了状态寄存器,以控制其输出k在计数器完成N-2的计数后就变为0,并在没有clear脉冲信号时使K保持为0,从而使可控计数器的模保持为2N,直到输入信号data_in出现新的跳变沿并产生新的clear脉冲信号,由以上原理可见,在输入信号为连“1”或连“0”的情况下,只要系统使用的时钟信号足够精确,就可以保证在一定时间里输出满足要求的位同步时钟,而在输入信号发生跳变时,系统又会捕捉下这个跳变沿并以此为基准输出位同步时钟。5 总结与体会本设计方案在Altera的ACEX 1K系列FPGA芯片EPC2上进行了实现,在Quartus II环境下,用VHDL硬件描述语言和电路图输入混合设计的方法,完成了整个时钟提取电路的设计。实践证明这种方法可以用很少的FPGA资源实现位同步的目的,并具有很高的稳定性和可靠性。实现位同步的方法还有很多,本设计是基于开环结构,具备了开环结构位同步提取电路的快速同步特点。如果输入不出现较大的相位抖动,输出时钟的相位可以实时地反映输入码元的相位。由于具有判断输入码元脉冲边沿抖动的功能,因此也具有锁相环的自适应性。由以上分析可知,当输入码元出现抖动而使得输出时钟没有和码元对齐之后,下一个码元跳变沿就会重新对齐。这种自适应性比锁相环的自适应反应更迅速。另外,本设计简单占有硬件资源少,因为可以同时调用多个时钟提取电路来提取多路同时输入的不同速度的串行码流的同步时钟,为下一步的数字复接提供了方便。通过此次设计,我从中获益良多,从一开始的选题到设计的结束,中间有不少坎坷,设计中遇到问题,最主要还是要靠自己用不同方式寻求解决的方法,多动手,多动脑才能真正从设计中获得知识,获得乐趣。对于此次的设计,通过认真阅读参考电路和程序,通过查阅课本、资料,同时利用广阔的网络资源更加准确地了解了各个单元模块与各个元件的功能和作用,为自己成功实现电路功能并得出仿真波形奠定基础我大都依据现有的模块进行设计,距离真正实现完全由自我设计是相差甚远的,今后要不断补充知识,能够完成自己的一份设计。另外使用Quartus II软件时应注意以下两点:1、使用Verilog HDL语言移位寄存器时,其文件名(RAM.V)要与模块名module RAM (clk,d,q);一样,且仿真的波形文件名RAM.vwf也要一样。2、在Waveform Editor仿真时,应先在菜单选项的Edit/ Grid Size中所弹出的对话框中将Grid Size:改为1.0us;并菜单选项的Edit/ End Time中所弹出的对话框中将 End Size改为100.0us,以方便观察、理解仿真得到的波形。6 辞(致)在卿朝进老师的指导下,同学的帮助下,我顺利完成了此次课程设计,成功实现了其位同步功能,在此表示衷心地感。课程设计期间的答疑,老师给了我们精辟的指导,对我们的设计有建设性的指导意义,加快了我们设计的进程,与时改正了设计中的错误,是我们能完成设计的根本前提。卿老师对我们国防生也非常理解,时间上我们感到不苛刻,着对于我们能有更多的时间完成设计,保证设计的质量是很有好处的。卿老师的谆谆教诲将使我受益终生,在此课程设计完成之际,谨向卿老师致以最深的意和最崇高的敬意!参考文献 1 继禹等.锁相环路原理与应用 M . :人民邮电 , 1976 . 2 顺心.数字电路与系统 M .:东南大学 , 2001 . 3 建军、 许 林、 正霞.基于 FPGA的多模式通信信号解调与自适应位同步技术 J .电讯技术 , 2005, 45 (1) : 120123 . 4 孟宪元、 单长虹.基于 FPGA的全数字锁相环路的设计 J .电子技术应用 , 2001, 27(9) : 5860 . 5 王金明.数字系统设计与Verilog HDL.电子工业,2002年 6 宋万杰. CPLD技术与其应用.电子科大,1999年 7 松、黄继业. EDA技术实用教程.科学,2002年 8 王金明.数字系统设计与Verilog HDL(第4版).:电子工业,2012 9 松,黄继业.EDA技术实用教程(第3版).:科学,2006 10 Actel Corporation.Actel HDL Coding Style Guide附录电路原理图
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 活动策划


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!