基于FPGA的加法器设计

上传人:仙*** 文档编号:37325357 上传时间:2021-11-02 格式:DOC 页数:12 大小:1.10MB
返回 下载 相关 举报
基于FPGA的加法器设计_第1页
第1页 / 共12页
基于FPGA的加法器设计_第2页
第2页 / 共12页
基于FPGA的加法器设计_第3页
第3页 / 共12页
点击查看更多>>
资源描述
基于FPGA的加法器设计一、实验目的1.熟悉用Quartus编译Verilog语言的方法。2.掌握用Verilog HDL语言描述加法器的方法。3.利用nios核建立加法器。二、实验原理1.半加器器设计1)半加法器a.b为加数和被加数,s.c为和和进位。(1)半加法器真值表(2)原理图输入(3) 分析功能(用波形仿真来看)(4)VHDL语言编程useieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entityhjfqis port(a,b:instd_logic; s,c:outstd_logic); endhjfq;architecturebehaveofhjfqis begin s=not(axor(notb); c=aandb; endbehave;2、 全加法器a. b.c为加数、被加数和低位进位,so.co为和与进位。(1) 全加法器真值表(2) 全加法器原理图:(3) 波形仿真时序图:(4)VHDL语言编程:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityjfqis port(a,b,c:instd_logic; so,co:outstd_logic);endjfq;architecturebehaveofjfqissignalbb:std_logic_vector(2downto0); begin bbco=0;soco=0;soco=0;soco=1;soco=0;soco=1;soco=1;soco=1;sonull;endcase; endprocess; endbehave;三、实验步骤(1)打开Quartus软件,熟悉软件界面及窗口命令。(2)选择FileNewProjectWizard弹出对话框,该对话框显示Wizard所包含的各项内容,在弹出的窗口中输入项目的名称和存储位置。如果选中Dontshowmethisintroductionagain。那么在下一次在新建项目是可以不再显示本对话框。点击Next按钮。(3)选择实验板的具体型号,芯片型号。选择设置参数完成后显示如图,点击finish按钮完成工程建立。(4) 选择toolsMegaWizard plug-In Manager建立加法器(5) 利用nios核建立加法器,选择ArithmeticNew”的窗口中选择建立Verilog文件。(7)单击OK后,在Quartus窗口的右方看到该文件,写入代码后选择“File=Save”,将文件保存在与项目文件同样的位置即可。然后可以看到如下状态:(8)设置仿真 Assignments settings(9)选择Processing startStart Test Bench Template Writer波形进行编译,打开simulation写仿真文件(10)设置仿真波形Tools -run EDA simulation tool -EDA RTL simulation 查看加法器仿真波形4、 实验结果整个实验过程就是一个提成方案,描述方案,找到问题,解决问题的过程,并且通过这个过程来找到实验的意义。通过这次实验,主要是对浮点数的运算用verilog代码来描述有了更加深刻的认识,同时也为其他的数据算法用verilog描述有了进一步的心得。为用verilog构建复杂的数据模块奠定基础,对今后的数字电路设计有深刻的影响。
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 工作计划


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!