毕业设计(论文)基于51单片机的电冰箱控制系统

上传人:仙*** 文档编号:30466653 上传时间:2021-10-10 格式:DOC 页数:45 大小:519.53KB
返回 下载 相关 举报
毕业设计(论文)基于51单片机的电冰箱控制系统_第1页
第1页 / 共45页
毕业设计(论文)基于51单片机的电冰箱控制系统_第2页
第2页 / 共45页
毕业设计(论文)基于51单片机的电冰箱控制系统_第3页
第3页 / 共45页
点击查看更多>>
资源描述
. . 毕 业 论 文 基于51单片机的电冰箱控制系统 院 部 专业班级 届 次 学生姓名 学 号 指导教师 二OO七 年 六 月 九 日装订线. . . 38 目录摘要IAbstractII引言11.系统基本原理方案设计31.1基本设计原则31.2控制系统方案选择31.3基于51单片机电冰箱系统的整体布局41.4功能原理分析52.系统的硬件设计52.1 单片机的选择72.1.1 AT89C51的特点72.1.2 管脚说明72.1.3振荡器特性和时钟电路102.1.4芯片擦除112.2 A/D转换电路112.2.1 ADC0809介绍122.2.2 ADC0809与AT89C51单片机接口电路172.3键盘电路及显示电路182.3.1功能件及显示电路182.3.2 74LS164介绍202.4温度采集电路和除霜电路212.4.1温度采集电路212.4.2除霜电路222.4.3传感器的选择222.5制冷压缩机和除霜电热丝启、停控制电路242.5.1电路图242.5.2工作原理242.5.3 74LS273介绍252.6电源电压检测电路262.7报警电路263.系统的软件设计273.1程序设计语言273.1.1汇编语言格式273.1.2汇编语言构成283.2程序主要模块283.2.1主程序模块283.2.2 T0中断服务程序模块303.2.3 T1中断服务程序模块314控制系统总电路32参考文献34致谢35附录36ContentsAbstractI Introduction11.Total programme design31.1 The option of control system31.2 The option of control system31.3 The whole layout of 51 Micro-controllers control system ofElectric refrigerator41.4 The analysis of function and principle52.Systematic hardware design52.1 The option of single-chip micro-controllers72.1.1 The property of AT89C51 72.1.2 Pin explanation72.1.3 The property of oscillator and Clock circuit102.1.4 Chip erase112.2 The conversion circuit of A/D112.2.1 The introduction of ADC0809122.2.2 The interface circuit of ADC0809 and AT89C51172.3 Keyset circuit and display circuit182.3.1 Function keys and display circuit182.3.2 The introduction of 74LS16419202.4 Temperature collection circuit and eliminate hoarfrost circuit212.4.1 Temperature collection circuit212.4.2 Eliminate hoarfrost circuit222.4.3 The option of quick sensor222.5 Refrigeration compressor and galvanothermy thread control circuit242.5.1 The electric picture242.5.2 Working principle242.5.3 The introduction of 74LS273252.6 The power supply electiric voltage examination electric circuit262.7 Alarm circuit263.Systematic software design273.1 Programme design language273.1.1 The format of assembly language273.1.2 The composition of assembly language283.2 Major program modular283.2.1 Main program modular 283.2.2 T0 interrupt program modular303.2.3 T1 interrurt program modular314.The whole electeic picture pf control system32Reference34Acknoledgement 35Appendix36 基于51单片机的电冰箱控制系统作者:XX 指导教师:XX 讲师【摘要】 传统的机械式直冷式电冰箱的控制原理是根据蒸发器的温度控制制冷压缩机的启、停,使电冰箱内的温度保持在设定温度范围内。一般,当蒸发器温度35时启动压缩机制冷; 当温度低于-10-20时停止制冷,关断压缩机。本论文介绍了一种用单片机开发的智能电冰箱控制系统。该系统以AT89C51单片机为核心控制压缩机的启动和停止,解决了传统电冰箱控制系统存在的不足,可以使控制更准确,更灵活。本论文对硬件组成,软件设计和系统的工作原理进行了详细的说明123121517。该设计方案是经过大量的科学调研及现场考察制定的。论文的内容包括实现控制系统软硬件的合理设计及匹配等设计。系统的硬件采用了模块结构设计,主要包括:A/D转换电路、温度采集电路、除霜电路、键盘电路、LED显示电路、制冷压缩机和除霜电热丝启停控制电路、电源电压检测电路、报警电路、直流电源供电电路、晶体振荡电路等模块。在软件设计中力求程序设计简单,运用子程序的设计和调用,是程序设计有易扩展,可移植等特点2714。关键词:单片机 电冰箱 智能 模块结构51 Micro-controllers Control System of Electric RefrigeratorAuthor:Zhai An Supervisor:Lou wei TutorAbstract: The control principle of traditional mechanical type straight cold electric refrigerator is to control the start and stop of the refrigeration compressor according to the temperature of the evaporator to make the temperature of the electric refrigerator maintain in societygoes against in the temperature range. Usually, it will start the refrigeration compressor when the temperature is 35; and it will stop refrigerating and close the compressor when its temperature is below -10-20. This article introduces an intelligent control system of electric refrigerator. The control system puts AT89C51 as its kernel to control the start and stop of the refrigeration compressor. It solves the insufficient problem of the control system of traditional and makes control more accurate and more flexible. This paper has made detailed exposition for hardware composition, software design and the working principle of the system123121517.This design scheme is established via plenty of scientific investigations and the investigation on-the-spot. The content of paper includes: realize the reasonable design of control systematic software and hardware and match wait for design. Systematic hardware has adopted modular structural design. Including: the conversion circuit of A/D, temperature collection circuit, eliminate hoarfrost circuit, keyset circuit, LED display circuit, refrigeration compressor and galvanothermy thread control circuit, power supply electric voltage examination electric circuit, alarm circuit, direct-current power supply feed circuit, crystal oscillating circuit, ect. Making great effort on programming in software design simple, utilize the design of subprogram with use, make programming have easy development, may transplant wait for advantage2714.Keywords: single chip, electric refrigerator, intelligent, modular structure引言电冰箱是利用电能在箱体内形成低温环境,用于冷藏冷冻各种食品和其他物品的家用电器设备。电冰箱是每个家庭现代化厨房必备的家用电器,它的主要任务就是控制压缩机、化霜加热等来保持箱内食品的最佳温度,达到食品保鲜的目的,即保证所储存的食品在经过冷冻或冷藏之后,保持色、味、水分、营养基本不变。电冰箱是第一次家电革命浪潮的主导产品,是每个家庭必备的电器设备。从1918年世界上第一台电机压缩式电冰箱研制成功,至今已走过89个年头。这期间,随着科学技术的飞速发展,电冰箱也在不断的演变和更新,尤其是近年来高新技术的迅猛崛起,更使得电冰箱的发展日新月异。现代社会每一个家庭都处在快节奏的生活中,人们大多已无闲暇的时间和精力花费在经常性的采购日常生活用品上。因此,集中时间大量采购的新型生活方式已为越来越多的人所接受,从而决定了大容量电冰箱将是一种国际化的发展趋势。传统的机械式直冷式电冰箱的控制原理是根据蒸发器的温度控制制冷压缩机的启、停,使电冰箱内的温度保持在设定温度范围内。一般,当蒸发器温度升至35时启动压缩机制冷;当温度低于-10-20时停止制冷,关断压缩机16。随着家用电冰箱的普及,人们对电冰箱的控制功能要求越来越高,这对电冰箱控制器提出了更高的要求,多功能、智能化是其发展方向之一,传统的机械式、简单的电子控制已经难以满足发展要求。随着微机技术的飞速发展,单片机以其体积小、价格低、应用灵活等优点在家用电器、仪器仪表等领域中得到了广泛的应用。采用单片机进行控制,可以使电冰箱的控制更准确、灵活、直观。本论文所设计的基于51单片机的电冰箱控制系统以AT89C51单片机为核心控制压缩机的启动和停止,解决了传统电冰箱控制系统存在的不足,可以使控制更准确,更灵活。本系统处于监控状态时,具有以下功能: (1)电源过欠压保护功能:为了使电冰箱安全可靠地运行,要求其电源电压在180V240V之间。因此,当电源电压小于180V或大于240V时,禁止启动压缩机并用指示灯显示。(2)压缩机开启延时功能:该功能要求压缩机停机时间超过3min才能启动,以延长压缩机的寿命。这就要求在每次电冰箱上电时,都要检查压缩机停机是否到3min,若未达到,需延时到3min后才能启动。因此,在设计时应有判断与延时功能。 (3)自动除霜功能:冷冻室中的水分会凝结成霜,因此,电冰箱应有自动除霜功能。该功能的实现方法是通过累计压缩机运行时间和检测环境温度,来判断是否满足化霜条件(霜厚达到3mm),当霜厚达到3mm时,接通化霜加热丝,同时断开压缩机和风机,30分钟后断开化霜加热丝,接通压缩机,再过15分钟后接通风机。(4)电冰箱温度自动调节功能:该功能是电冰箱应具备的主要功能。电冰箱设有冷冻室和冷藏室,冷冻室的温度为1626,冷藏室的温度为210,在该温度范围内,食品保鲜效果较好,因此,对控制器的要求是将冷冻室和冷藏室的温度自动控制在各自的范围内。 (5)功能键控制功能:利用功能键分别控制冷冻室温度、冷藏室温度、速冻设定等。(6)LED显示功能:利用LED显示冷冻室温度、冷藏室温度,压缩机的启、停和速冻、报警状态。(7)关机提示功能:开门超过2min将声音报警,提醒用户及时关门。(8)连续速冻功能:连续速冻时间设定范围18小时。(9)温度测量功能:设定3个测温点,测量范围-26+26 ,精度0.5 ;(10)故障自检报警功能:该功能要求在电冰箱运行过程中,不断诊断电冰箱的运行状态,当发现严重故障时,电冰箱停机并报警显示。1.系统基本原理方案设计 系统基本原理方案是整个设计过程的依据,也是贯穿整个设计系统的灵魂线,它的好坏直接关系到整个方案的成败。在其设计上要经过查询考证、深思熟虑、反复推敲,有时离不开大量的实验,最后再比较几种选定方案而得出的。1.1基本设计原则对于基于51单片机的电冰箱控制系统的整体设计,要遵循这样的设计原则:(1)首先满足食品保鲜的要求,即保证所储存的食品在经过冷冻或冷藏之后,保持色、味、水分、营养基本不变。(2)吸收国内外电冰箱的新技术,采用新原理、新结构、新工艺,使用可靠并能降低电能损耗。1.2控制系统方案选择控制系统在整个智能电冰箱控制中的地位是至关重要的,它控制着整个系统的运行,是系统是否正常运行的关键。选用的控制系统是否合理是关系到整个系统设计成败的关键。因此此必须慎重地选择控制系统。当前对电冰箱控制系统的方案主要有以下两种。1.机械控制方式所谓的机械控制方式,即根据蒸发器的温度控制制冷压缩机的启、停,使电冰箱内的温度保持在设定温度范围内。一般,当蒸发器温度升至35时启动压缩机制冷;当温度低于-10-20时停止制冷,关断压缩机。这种控制方式,电路相对简单,操作方便,使电冰箱的控制不够准确、灵活、直观。2.智能控制方式所谓的智能控制方式,即自动控制方式,用单片机控制制冷压缩机的启、停,使电冰箱内的温度保持在设定温度范围内。这些过程不需要任何的人工操作,全部自动进行,使电冰箱的控制更准确、灵活、直观。经过慎重地考虑、科学地论证和实验,本论文采用了第二种方案;用单片机作为控制系统的核心部分,来控制着系统的运行。选用单片机的好处是:单片机控制功能强、体积小、功耗低、性能高、速度快、稳定可靠、应用灵活广泛、价格低廉、通用性强等。1.3基于51单片机的电冰箱控制系统的整体布局智能电冰箱系统由传感器(霜厚传感器、冷藏室温度传感器、冷冻室温度传感器)、微控单元单片机、压缩机、加热丝、LED显示器、语音输出等组成。如图1-1所示。其中传感器整个硬件中最重要的组成部分,是系统是否成功的关键;微控单元是系统的软件部分,控制整个系统的运行,是系统是否正常工作的保证。传感器微控单元单片机LED显示器压缩机加热丝语音输出图1-1 设计系统整体布局1.4功能原理分析基于51单片机控制的单片机控制系统的工作原理是这样的:传感器(霜厚传感器、冷藏室温度传感器、冷冻室温度传感器)随时处于待工作状态。当霜的厚度达到3mm时,霜厚传感器就会感应到,将产生模拟量信号,并将产生的模拟信号传送到A/D转换器;A/D转换器接收到模拟信号后将其转换为数字量信号,并将数字信号输送到单片机;单片机接受到信号后,将数字量信号进行分析、判断、处理,给出除霜命令。智能电冰箱控制系统工作后,霜的厚度逐渐改变,当霜的厚度调整到规定值时,除霜命令的自动解除,一个工作过程就算是这样完成了。霜厚传感器接着等待进入下一个工作过程。当冷藏室的温度低于2或高于10时,冷藏室温度传感器就会感应到,将产生模拟信号,并将产生的模拟信号传送到A/D转换器;A/D转换器接收到模拟信号后将其转换为数字量信号,并将数字信号输送到单片机;单片机接受到信号后,将数字量信号进行分析、判断、处理,给出调整冷藏室温度命令。智能电冰箱控制系统工作后,冷藏室内的温度逐渐改变,当冷藏室内的温度调整到规定范围时,调整冷藏室的命令的自动解除,一个工作过程就算是这样完成了。冷藏室传感器接着等待进入下一个工作过程。当冷冻室的温度低于-26或高于-16时,冷冻室温度传感器就会感应到,将产生模拟信号,并将产生的模拟信号传送到A/D转换器;A/D转换器接收到模拟信号后将其转换为数字量信号,并将数字信号输送到单片机;单片机接受到信号后,将数字量信号进行分析、判断、处理,给出调整冷冻室温度命令。智能电冰箱控制系统工作后,冷冻室内的温度逐渐改变,当冷冻室内的温度调整到规定范围时,调整冷冻室的命令的自动解除,一个工作过程就算是这样完成了。冷冻室传感器接着等待进入下一个工作过程。.2.系统的硬件设计硬件是指单片机本身及其外围设备,是单片机控制系统的物质基础,其结构的合理与否,直接影响整个系统的性能,必须慎重选择123 5610。电冰箱控制器的主要任务就是控制压缩机、化霜加热等来保持箱内食品的最佳温度,达到食品保鲜的目的,即保证所储存的食品在经过冷冻或冷藏之后,保持色、味、水分、营养基本不变。用LED将设定温度或实际温度显示出来。基于51单片机的电冰箱控制系统的硬件结构(如图2-1所示)采用了模块结构设计,主要包括:A/D转换电路、温度采集电路、除霜电路、键盘电路、LED显示电路、制冷压缩机和除霜电热丝启停控制电路、电源电压检测电路、语音输出报警电路、直流电源供电电路、晶体振荡电路等模块。冷藏室温度传感器冷冻室温度传感器霜厚传感器放大器放大器放大器欠电压保护压缩机加热丝键盘电路显示器A/D转换器功放AT89C51单片机直流电源供电电路晶体振荡电路报警电路图2-1系统硬件结构图2.1 单片机的选择单片机是整个测控系统的核心部件,它直接影响到整个系统的软硬件设计,并对系统的功能、性价比以及研制周期起决定性作用。本控制系统的单片机采用美国ATMEL公司生产的8位单片机AT89C51,它是80C51微控制器系统的派生。AT89C51芯片采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,而且价格低,是目前性能比较高的单片机之一。该芯片完全满足系统需要,不需要再外扩程序存储器和数据存储器,可以大大简化系统的硬件电路。此外,AT89C51单片机在市场上的货源充足,技术比较成熟,同时也具有较好的开发环境4913。2.1.1 AT89C51的特点 AT89C51具有以下特点:(1)与MCS-51 兼容。该芯片具有MCS-51系列单片机的所有特性,与工业标准的MCS-51指令集和输出管脚相兼容。(2)CMOS制造工艺,功耗低,成本低廉。全静态工作时0Hz-24Hz,正常运行电压5V,速度可达33MHZ。片内有4K字节可编程闪烁存储器,128字节的RAM存储器和4字节的EPROM存储器,不扩展存储器可满足系统需要,采用低功耗的闲置和掉电模式可降低成本且提高系统抗干扰能力。(3)可靠性高。芯片本身按工业测控环境要求设计,抗噪声干扰强;运行温度范围宽(-40-60);允许电源波动范围大(5V20%),芯片内有振荡器和时钟电路。(4)扩展性能好。具有4个8位I/O口,通过芯片外引脚构成三总线结构(地址总线AB、数据总线DB、控制总线CB)。RAM可扩展到64K字节,另外具有片内FLASH程序存储器,同时含有2个外部中断口,2个16位可编程定时计数器,2个全双工串行通信口,5个中断源。AT89C51可以按照常规方法进行编程,也可以在线编程。(5)稳定性好。寿命可达1000写/擦循环,数据保留时间可达10年。2.1.2 管脚说明AT89C51芯片引脚排列如图2-2所示。引脚按功能可分为:电源和时钟引线、I/O口线、控制口线三部分。 1.电源和时钟引线:VCC:供电电压。VSS:接地。XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。XTAL2:来自反向振荡器的输出。2.I/O口线:P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FLASH编程时,P0 口作为原码输入口,当FLASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口(第二功能如表2-1)管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。(3)控制口线:RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。 :外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次有效。但在访问外部数据存储器时,这两次有效的信号将不出现。/VPP:当保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,将内部锁定为RESET;当,端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。表2-1 P3口第二功能I/O引脚第二功能注释P3.0P3.1P3.2P3.3P3.4P3.5P3.6P3.7串行数据输入口串行数据输出口外部中断0请求外部中断1请求定时器/计数器0外部输入定时器/计数器1外部输入外部数据存储器写选通外部数据存储器读选通图2-2 AT89C51芯片引脚列图2.1.3 振荡器特性和时钟电路1.振荡器特性: XTAL1和XTAL2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,XTAL2应不接。有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。2.复位电路及时钟电路:单片机应该是一个最小应用系统,但在这个最小系统中,仍有一些功能器件如晶体振荡器、复位电路等无法集成到芯片内部,因而需要在片外接相应的电路。89C51通常采用电自动复位和开关复位两种方式,本系统选用上电复位电路,复位电路如图2-3 (a)所示,在RC电路的充电过程中,RESET端出现正脉冲,RESET端保持10以上的高电平,单片机可有效复位。系统单片机的时钟电路如图2-3(b)所示:在XTAL1、XTAL2引脚上外接石英晶体和微调电容组成并联谐振回路,外接两个30Pf的谐振电容,选用6MHz的晶振。图2-3(a) 复位电路 图2-3(b)时钟电路2.1.4 芯片擦除整个EPROM阵列和三个锁定位的电擦除可通过正确的控制信号组合,并保持ALE管脚处于低电平10ms 来完成。在芯片擦操作中,代码阵列全被写“1”且在任何非空存储字节被重复编程以前,该操作必须被执行。此外,AT89C51设有稳态逻辑,可以在低到零频率的条件下静态逻辑,支持两种软件可选的掉电模式。在闲置模式下,CPU停止工作。但RAM,定时器,计数器,串口和中断系统仍在工作。在掉电模式下,保存RAM的内容并且冻结振荡器,禁止所用其他芯片功能,直到下一个硬件复位为止。 2.2 A/D转换电路A/D转换电路115采用逐次逼近式8位ADC0809芯片。0809共有8路模拟输入通道,本系统只用了其中4个通道IN0IN3。其中IN0作为冷冻室温度检测通道,IN1作为冷藏室温度检测通道,IN2作为除霜检测通道,IN3作为电源电压检测通道。2.2.1 ADC0809介绍ADC0809是一种比较典型的8位逐次逼近式A/D转换器CMOS工艺,可实现8路模拟信号的分时采集,片内有8路模拟选通开关,以及相应的通道地址锁存用译码电路,其转换时间为100s左右,采用双排28引脚封装,可以和微机直接接口。1.内部结构:ADC0809 由一个8 路模拟开关、一个地址锁存与译码器、一个A/D 转换器和一个三态输出锁存器组成。多路开关可选通8 个模拟通道,允许8 路模拟量分时输入,共用A/D 转换器进行转换。三态输出锁器用于锁存A/D 转换完的数字量,当OE 端为高电平时,才可以从三态输出锁存器取走转换完的数据。ADC0809内部逻辑结构如图2-4所示:图2-4 ADC0809内部逻辑结构图中,八路模拟量开关可选通8个模拟通道,允许8路模拟量分时输入,共用1个A/D转换器进行转换。地址锁存与译码电路完成对ADDA、ADDB、ADDC三个地址位进行锁存和译码,其译码输出用于8路模拟通道的选择。8位A/D转换器是逐次逼近式,三态输出锁存器用于存放和输出转换得到的数字量。2. 主要特征:(1)8路8位AD转换器,即分辨率8位;(2)具有转换起停控制端; (3)转换时间为100s;(4)单个5V电源供电; (5)模拟输入电压范围05V,不需零点和满刻度校准; (6)工作温度范围为-4085摄氏度; (7)低功耗,约15mW。3.主要技术指标:(1)分辨率:分辨率是衡量A/D转换芯片能分辩出的输入模拟量最小变化程度的技术指标。分辨率取决于A/D转换器的位数,ADC0809的分辨率为8位,即它输出数据可用28个二进制进行量化。用百分率表示为: 1/2N100%=1/28100%=1/256100%=0.391%(2)量化误差:图2-5 A/D转换量化误差量化误差(如图2-5所示)是由于A/D转换器有限字长的数字量对输入模拟量进行离散取样而引起的误差,其大小在理论上为一个单位的分辨率。该量表示A/D转换器所能辨认的最小数字量,量化误差和分辨率是统一的,提高分辨率可以减少量化误差。(3)转换率与转换时间:转换率是指A/D转换器在每秒钟所能完成的转换次数。这个指标也可以表述为转换时间,即A/D转换器从启动到得到转换结果所需要的时间,两者互为倒数。ADC0809的转换时间为100。(4)转换精度:转换精度反映实际A/D转换器与理想A/D转换器在量上的差值。一般用绝对误差与相对误差表示。由于理想A/D转换器也存在着量化误差,因此,实际A/D转换器转换精度所对应的误差指标不包括量化误差。通常给出的转换精度分项误差指标有(如图2-6 所示):偏移误差、满刻度误差、非线性误差、微分非线性误差等。偏移误差:是指输出为零,输入不为零时的值。偏移误差通常由放大器的偏移电压或偏移电流引起的,ADC0809不需要进行零点校正。满刻度误差:是指当A/D转换器满刻度时,输出代码对应的实际输入电压与理想电压值之差。满刻度误差一般由参考电压、放大器放大倍数、电阻网络误差等引起。ADC0809不需要满刻度校准。非线性误差:是指实际转换函数与理想直线的最大偏移。图2-6 A/D 转换器转换精度分项误差指标 (5)满刻度范围度范围是指A/D转换器所允许输入的电压范围。实际A/D转换器的最大输入值总比满刻度值小1/2n(n为转换器位数)。4. 信号引脚:ADC0809芯片为28引脚双列直插式封装的芯片,其引脚排列如图2-7所示。图2-7 ADC0809引脚图各引脚功能如下:(1)IN7IN0模拟量输入通道。ADC0809对输入模拟量的要求主要有:信号单极性,电压范围05V,若信号过小,还需进行放大。另外,模拟量输入在A/D转换的过程中,其值应保持不变,因此,对变化速度快的模拟输入量,在输入前应增加采样保持电路。(2)A、B、C地址线。A为低位地址,C为高位地址,用于对8路模拟通道进行选择,引脚图中相应为ADDA、ADDB和ADDC。其地址状态与通道的对应关系见表2-2。(3)ALE地址锁存允许信号。由低至高电平的正跳变将通道地址锁存至地址锁存器中。(4)START启动转换信号。START上跳沿时,所有内部寄存器清0;START下跳沿时,开始进行A/D转换。在A/D转换期间,START应保持低电平。(5)D7D0数据输出线。为三态缓冲输出形式,可以和单片机的数据线直接相连。(6)OE输出允许信号。用于控制三态输出锁存器向单片机上输出转换得到的数据。OE=0,输出数据线呈高电阻态;OE=1,输出转换得到的数据。(7)CLOCK时钟信号。ADC0809内部没有时钟电路,所需时钟信号由外界提供,要求频率范围10kHz1.2MHz。通常使用频率为500 kHz的时钟信号。(8)EOC转换结束状态信号。EOC0,正在进行转换;EOC=1,转换结束。该状态信号既可作为查询的状态标志,又可以作为中断请求信号使用。(9)VCC+5V电源。(10)REF(+)、REF(-)参考电压。参考电压用来与输入的模拟信号进行比较,作为逐次逼近的基准。其典型值为REF(+)=+5V,REF(-)=0V。表2-2 ADC0809通道选择C B A 选择的通道0 0 0 IN00 0 1 IN10 1 0 IN20 1 1 IN31 0 0 IN41 0 1 IN51 1 0 IN61 1 1 IN75.应用说明:(1)ADC0809 内部带有输出锁存器,可以与AT89C51 单片机直接相连。(2)初始化时,使ST 和OE 信号全为低电平。(3)送要转换的哪一通道的地址到A,B,C 端口上。(4)在ST 端给出一个至少有100ns 宽的正脉冲信号。(5)是否转换完毕,我们根据EOC 信号来判断。(6)当EOC 变为高电平时,这时给OE 为高电平,转换的数据就输出给单片机了。6.工作过程:首先输入3位地址,并使ALE=1,将地址存入地址锁存器中。此地址经译码选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位。下降沿启动 AD转换,之后EOC输出信号变低,指示转换正在进行。直到AD转换完成,EOC变为高电平,指示AD转换结束,结果数据已存入锁存器,这个信号可用作中断申请。当OE输入高电平 时,输出三态门打开,转换结果的数字量输出到数据总线上。2.2.2 ADC0809与AT89C51单片机接口电路1.ADC0809与AT89C51单片机的连接如图2-8所示。图2-8ADC0809与单片机接口电路图中ADC0809的A、B、C三端通过地址锁存器接于P0口的P0.0、P0.1、P0.2,这三端控制模拟通道的选择。P2.7与、端经与非门接于0809的ALB、START、/OB端,控制0809的启动、读、写。0809的BOC端悬空,转换后利用软件延时一段时间再读结果,不用中断方式。2转换数据的传送A/D转换后得到的数据是数字量,这些数据应传送给单片机进行处理。数据传送的关键是如何确认A/D转换完成,因为只有确认数据转换完成后,才能进行传送。为此可采用下述三种方式:(1)定时传送方式对于一种A/D转换器来说,转换时间作为一项技术指标是已知的和固定的。例如,对于ADC0809,若其时钟信号为500KHz时,转换时间约为128s,相当于晶振6MHz的单片机工作64个机器周期。根据此设计一个延时子程序,A/D转换启动后,就调用这个延时子程序,延迟时间一到,转换肯定已经完成了。接着,就可进行数据传送。(2)查询方式A/D转换芯片有转换结束状态信号,例如ADC0809的EOC端。因此可以通过查询方式用软件测试EOC的状态,即可确定转换是否完成,若完成,就可进行数据传送。(3)中断方式把转换结束状态信号(EOC)作为中断请求信号,以中断方式进行数据传送。不管采用上述哪种方式,一旦确认转换完成,即可通过指令进行数据传送,把转换数据送上数据总线,供单片机接收。2.3 键盘电路及显示电路2.3.1 功能键及显示电路功能键及LED显示电路(如图2-9所示)采用6个功能键控制冷冻室、冷藏室及速冻温度设定,4位LED数码管负责显示冷冻室、冷藏室温度及压缩机启、停和报警等状态。 图2-9 功能键及LED显示电路显示和键盘输入均通过AT89C51 的串行口。显示输出通道和键盘输入通道的选择由端口线P3.2和与非门完成。当P3.2为“ 1”时,AT89C51的TXD端输出同步脉冲通过与门发送到显示移位寄存器74LS164的移位脉冲输 入端,这样AT89C51欲显示的数据,由RXD端输出,移位读入到显示器通道。当P3.2为“0” 时,AT89C51的RXD的数据仅能被移位读入到键盘扫描用的移位寄存器中。由于显示通道采用 LED数码管并用74LS164作为驱动器,所以简化了线路,结构简单,显示字位扩充方便,驱动 程序设计容易。键盘工作原理也很简单,AT89C51通过RXD向键盘扫描移位寄存器74 LS164逐位发送数据“0”,每次发送后即从P3.4端读入键盘信号,若读得“0”表示有键按下,转入处理键功能程序。2.3.2 74LS164介绍74LS164为一并行输出串行移位寄存器。1主要特征:(1)串行输入带锁存 (2)时钟输入,串行输入带缓冲 (3)异步清除 (4)最高时钟频率可高达36Mhz (5)功耗:10mW/bit (6)74系列工作温度: 0C to 70C (7)Vcc最高电压:7V (8)输入最高电压:7V (9)最大输出驱动能力: (10)高电平:0.4mA ;低电平:8mA2工作原理:74LS164工作原理为:在P3.0的一个脉冲作用下,P3.1的一个值就写入了74LS164中。现向四个seg7中发送上图数字0、1、2、3,其七段共阴码分别为0x3f,0x06,0x5b,0x4f,二进制代码分别为 0011 1111、0000 0110、0101 1011、0100 1111,编写程序写入即可。74LS164芯片真值表如表2-3所示:表2-3 74LS164芯片真值表CLEAR CLOCK A B QA QB QHL X X X L L L H L X X QA0 QB0 QH0H H H H QAn QGn H L X L QAn QGn H X L L QAn QGn3.引脚介绍:74LS164芯片引脚图如下图2-10所示:图2-10 74LS164芯片引脚图 74LS164有14条引脚.74LS164为TTL单向8位移位寄存器,可实现串行输入,并行输出其中A、B(第1、2脚)为串行数据输入端,2个引脚按逻辑与运算规律输入信号,共一个输入信号时可并接CLK为时钟输入端,可连接到串行口的TXD端。每一个时钟信号台的上升沿加到CLK端时,移位寄存器移一位,D0位首先送出,最后是D7位,8位二进制数全部移入74LS164中。MR(第9脚)为复位端,当MR=0时,移位寄存器各位复0,只有当R=1时,时钟脉冲才起作用。Q0Q7(第3-6和10-13引脚)并行输出端分别接LED显示器的dpa各段对应的引脚上。clear(9脚)高电平有效,低电平时使所有输出(QaQh)为低电平。Clock(8脚)上升延输出移位,其余状态保持。A(1脚)B(2脚)输入:逻辑与关系,即全高为高,见低为低。2.4 温度采集电路和除霜电路2.4.1 温度采集电路温度传感器选用了MF53-1型热敏电阻,具有负温度系数,灵敏度较高。热敏电阻RT的阻值和温度的关系为:R(t)=286/(26.8+t)-2.68k。A点电压与温度的关系为:V=(2.685)/ R(t)+2.68=1.26+0.047t利用温度传感器可以很容易测得冷藏室温度和冷冻室温度。2.4.2 除霜电路把热敏电阻安装在距蒸发器3mm的某个合适的位置上,当霜厚大于3mm时,热敏电阻Rt接触到霜从而感受到较低的温度,其电阻值变大,A点温度降低,运算放大器输出信号有变化,经A/D转换后送入CPU,经单片机分析、判断,给出除霜命令。除霜电路如图2-11所示:图2-11 除霜电路2.4.3 传感器的选择传感器是一种转换器件,它以一定的精度将被测非电量转换为与之有确定关系并易于测量的电量。它一般由敏感元件、转换元件和信号调节电路三部分组成。其一般框图形式如图2-12所示。输出量信号调节电路转换元件被测量敏感元件电量(非电量)辅助电源图2-12 传感器组成框传感器的主要技术指标有:线性度、灵敏度、迟滞性、重复性与分辨率。在选择传感器时,不必要求这几项指标是最优,而应根据实际情况,在保证主要性能指标满足要求的前提下,使整个系统性价比达到最优14。 1.温度传感器的选择:本论文所采用的温度传感器Rt主要由冷冻室、冷藏室、冷冻室蒸发器盘管、冷藏室蒸发器盘管速冻室、环境温度检测等温度传感器组成。主控器不断采集冷冻室、冷藏室及环境温度,并根据设置值及采集值控制各部件的运行。本论文的温度传感器Rt主要是指冷藏室温度传感器RS和冷冻室温度传感器DS,它们都是负温度系数的热敏电阻.温度升高时,阻值变小;当温度降低时,阻值变大。我们知道,电冰箱一般设有冷冻室和冷藏室,冷冻室用于速冻食品,在冷冻室中的食品可以存放较长的时间,冷冻室的温度为-16 -26左右;冷藏室以不冻伤食品又有保鲜作用为准,冷藏室的温度为2 10左右;冷冻室食品中的水分会凝结成霜,到一定程度还要除霜。2.霜厚传感器的选择:本论文采用一种用于制冷系统的电容式霜厚传感器,其特征在于包括一对金属电极和一个固定装置,其中,第一电极为制冷系统的蒸发器的金属表面或紧贴在蒸发器表面的金属片,第二电极为与第一电极相对并保持一定间隔的金属片,第二电极表面涂覆有防潮绝缘材料,并由固定装置加以固定,利用两电极之间的电容变化测定霜的厚度。制冷系统采用这种霜厚传感器可及时化霜,提高制冷效果,有利于食品的保存,并能节省耗电。2.5 制冷压缩机和除霜电热丝启、停控制电路2.5.1 电路图其电路如图2-13所示:图2-13制冷压缩机和除霜电热丝启、停控制电路图2.5.2 工作原理AT89C51单片机控制信号经P1.3和P1.4端口输出,并在P1.7的控制下锁存在74LS273中,74LS273的输出再经达林顿驱动器DS2003后驱动固态继电器RELAY1和RELAY2。当DS2003的16端有高电平输出时,RELAY1的3,4引脚端接通,使加热丝接通电源而除霜。当DS2003的15端输出高电平时,RELAY2的3,4端接通,使压缩机绕组接通电源而启动,开始制冷。74LS273锁存控制信号,一方面增加输出功率,另一方面也防止单片机复位时引起控制的误动作。采用固态继电器作为压缩机和除霜电热丝的开关:属于无触点开关,内部是大功率的晶闸管电路,不产生火花,无电磁干扰并使高压与单片机系统隔离。2.5.3 74LS273介绍74LS273是带清除端的8D触发器,上升沿触发,具有锁存功能。 1D8D为数据输入端,1Q8Q为锁存输出端,CLR为清除端,CLK为时钟端。当CLR=O时,输出端Q被清零。当CLR=1、CLK为脉冲上升沿时,QD。当CLR=1,CLK=0时,Q端不变。74LS273的CLR端固定接高电平,使之无效。MCS-5l系列单片机的P2.0和经或门接到74LS273的CLK端。此扩展接口的地址为0FEFFH(P2.0=0,假设其余地址线为1)。74LS273的引脚图如图2-16所示:图2-14 74LS273的引脚图74LS273的功能表如表2-4所示:74LS273的功能表CLR CLK D Q 0 X X 01
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!