电子时钟设计

上传人:沈*** 文档编号:244331021 上传时间:2024-10-03 格式:PPT 页数:24 大小:1.63MB
返回 下载 相关 举报
电子时钟设计_第1页
第1页 / 共24页
电子时钟设计_第2页
第2页 / 共24页
电子时钟设计_第3页
第3页 / 共24页
点击查看更多>>
资源描述
,*,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,单击此处编辑母版标题样式,EDA,电子时钟设计,Company,LOGO,EDA,电子时钟设计,引 言,EDA,、,VHDL,简介,设计过程,系统仿真,1,引 言,1.1,课程设计的目的,本次设计的目的就是在掌握,EDA,实验开发系统的初步使用基础上,了解,EDA,技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。在掌握所学的计算机组成与结构课程理论知识时。通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。,1.2,课程设计的内容,利用,VHDL,语言设计基于计算机电路中时钟脉冲原理的数字秒表。该数字秒表能显示范围为,24,小时,00,分钟,00,秒的简易数字秒表。秒范围进行计时,显示最长时间是,23,小时,59,分,59,秒。计时精度达到,10ms,。设计了复位开关和启停开关。复位开关可以在任何情况下使用,使用以后计时器清零,并做好下一次计时的准备。,2.1,EDA,技术,2 EDA,、,VHDL,简介,EDA,是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能,化技术的最新成果而开发出的电子,CAD,通用软件包,它根据硬件描述语言,HDL,完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局,布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等,工作。目前,EDA,主要辅助进行三个方面的设计工作:,IC,设计、电子电路设计和,PCB,设计。没有,EDA,技术的支持,想要完成超大规模集成电路的设计制造是不可想,象的;反过来,生产制造技术的不断进步又必将对,EDA,技术提出新的要求。,2.2.1 VHDL,的简介,VHDL,语言是一种用于电路设计的高级语言。它在,80,年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。但是,由于它在一定程度上满足了当时的设计需求,于是他在,1987,年成为,A I/IEEE,的标准(,IEEE STD 1076-1987,)。,1993,年更进一步修订,变得更加完备,成为,A I/IEEE,的,A I/IEEE STD 1076-1993,标准。目前,大多数的,CAD,厂商出品的,EDA,软件都兼容了这种标准。自,IEEE,公布了,VHDL,的标准版本,,IEEE-1076,(简称,87,版,),之后,各,EDA,公司相继推出了自己的,VHDL,设计环境,或宣布自己的设计工具可以和,VHDL,接口。此后,VHDL,在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。,1993,年,,IEEE,对,VHDL,进行了修订,从更高的抽象层次和系统描述能力上扩展,VHDL,的内容,公布了新版本的,VHDL,,即,IEEE,标准的,1076-1993,版本,(简称,93,版)。现在,,VHDL,和,Verilog,作为,IEEE,的工业标准硬件描述语言,又得到众多,EDA,公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,,VHDL,于,Verilog,语言将承担起大部分的数字系统设计任务。,2.2,硬件描述语言,VHDL,2.2.2 VHDL,语言的特点,VHDL,的程序结构特点是将一项工程设计,关于用,VHDL,和原理图输入进行,CPLD/FPGA,设计的粗略比较:在设计中,如果采用原理图输入的设计方式是比较直观的。你要设计的是什么,你就直接从库中调出来用就行了。这样比较符合人们的习惯。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是,VHDL,系统设计的基本点。应用,VHDL,进行工程设计的优点是多方面的。,(,1,)与其他的硬件描述语言相比,,VHDL,具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。,(,2,),VHDL,丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。,(,3,),VHDL,语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。,(,4,)对于用,VHDL,完成的一个确定的设计,可以利用,EDA,工具进行逻辑综合和优化,并自动的把,VHDL,描述设计转变成门级网表。,(,5,),VHDL,对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。,2.2.3VHDL,的设计流程,它主要包括以下几个步骤:,(,1,),.,文本编辑:,用任何文本编辑器都可以进行,也可以用专用的,HDL,编辑环境。通常,VHDL,文件保存为,.,vhd,文件,,Verilog,文件保存为,.v,文件,(,2,),.,功能仿真:,将文件调入,HDL,仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只在布线完成以后,进行时序仿真),(,3,),.,逻辑综合:,将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式。逻辑综合软件会生成,.,edf,或,.,edif,的,EDA,工业标准文件。,(4,),.,布局布线:,将,.,edf,文件调入,PLD,厂家提供的软件中进行布线,即把设计好的逻辑安放,PLD/FPGA,内。,(,5).,时序仿真:,需要利用在布局布线中获得的精确参数,用仿真软件验证电路的时序。(也叫后仿真)通常以上过程可以都在,PLD/FPGA,厂家提供的开发工具。,3,设计过程,3.1,设计规划,3.2,各模块的原理及其程序,2,时基分频模块,1,控制模块,3,计时模块,3.1,设计规划,3.2,各模块的原理及其程序,3.2.1,控制模块,计时模块的作用是针对计时过程进行控制。计时控制模块可用俩个按钮来完成秒表的启动、停止和复位。部分源程序如下:,LIBRARY IEEE;,USE IEEE.STD_LOGIC_1164.ALL;,USE IEEE.STD_LOGIC_UNSIGNED.ALL;,ENTITY CTRL IS,PORT(CLR,CLK,SP:IN STD_LOGIC;,EN:OUT STD_LOGIC);,COM:PROCESS(SP,CURRENT_STATE),BEGIN,END IF;,END PROCESS;,END BEHAVE;,3.2.2,时基分频模块,时基分频模块的作用把输入时钟信号变为分频输出信号。源程序:,LIBRARY IEEE;,USE IEEE.STD_LOGIC_1164.ALL;,USE IEEE.STD_LOGIC_UNSIGNED.ALL;,ENTITY CB10 IS,PORT(CLK:IN STD_LOGIC;,CO:OUT STD_LOGIC);,END CB10;,ARCHITECTURE ART OF CB10 IS,SIGNAL COUNT:STD_LOGIC_VECTOR(3 DOWNTO 0);,BEGIN,PROCESS(CLK),BEGIN,IF RISING_EDGE(CLK)THEN,IF COUNT=1001THEN,COUNT=0000;,CO=1;,ELSE,COUNT=COUNT+1;,CO=0;,END IF;,END IF;,END PROCESS;,END ART;,3.2.3,计时模块,计时模块执行计时功能,计时方法和计算机一样是对标准时钟脉冲计数。他是由四,个十进制计数器和俩个六进制计数器构成,其中毫秒位、十毫秒位、秒位和分位采用十进制计数器,十秒位和十分位采用六进制计数器。,3.2.4,显示模块,计时显示电路的作用是将计时值在,LED,数码管上显示出来。计时电路产生的值经过,BCD,七段译码后,驱动,LED,数码管。计时显示电路的实现方案采用扫描显示。,4,系统仿真,时基分频,模块仿真,分析:,CLK,为时钟信号的输入,,CO,为分频输出信号,控制模块,的仿真,分析:,CLK,、,CLR,和,SP,为输入信号,,EN,为输出信号。,计时电路,模块仿真,十进制计数器的仿真,计时电路,模块仿真,六进制计数器的仿真,计数器的仿真,计时电路,模块仿真,分析:,clk,为时钟脉冲脉冲,,s_1ms,是毫秒计数值,,s_10ms,是十毫秒计数器,,s_100ms,是百毫秒计数器,,s_1s,是秒计数器,,s_10s,是十秒计数器,,m_1min,是分计数器,,s_10min,是十分计数器,,hour,是小时计数器。它们均为输入信号。每来两个时钟脉冲,,s_1ms,加,1,,当,s_1ms,满十时,,s_10ms,加,1,,依次类推,,s_10ms,满十的时候,,s_100ms,加,1,等等作为输出。,数据选择器的仿真,BCD,七段译码器驱动器的仿真,分析:,bcd,为时钟脉冲输入信号,,led,是输出信号,如图所示:,当输出为“,1111110”,时候,输入为“,0000”,;当输出为,“,0110000”,时输入“,0001”,当输入为“,0010”,时输出为,“,1101101”,,当输入为“,0011”,时输出为“,1111001”,等等,来实现七段译码功能。,数字秒表整个系统的仿,真,分析:秒表开始从零开始计数,每次增加,10ms,。工作很正常的进行。,Thank You!,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!