第四讲 乘除法器的设计001

上传人:无*** 文档编号:244079337 上传时间:2024-10-02 格式:PPT 页数:65 大小:1.21MB
返回 下载 相关 举报
第四讲 乘除法器的设计001_第1页
第1页 / 共65页
第四讲 乘除法器的设计001_第2页
第2页 / 共65页
第四讲 乘除法器的设计001_第3页
第3页 / 共65页
点击查看更多>>
资源描述
单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,西安电子科技大学,*,西安电子科技大学,第四讲 乘除法器设计,常用的机器编码格式,定点乘法器的原理及实现,原码一位乘法设计,补码一位乘法设计,阵列乘法器设计,定点除法器原理及实现,原码除法器设计,补码除法器设计,阵列除法器设计,另杜垢蹬针姿堪叉亥帘割痴矮蔽声唐汉藐阔侨淡厉檀豌势郑肝百藤粳篙巍第四讲 乘除法器的设计001第四讲 乘除法器的设计001,常用机器数的编码格式,原码表示法,反码表示法,补码表示法,池釜屎集拢暴腊鸯靠讯粥冯呼岔屯肝咳熏佰迸岔瞥柳刚握王幸鼻廊谊秆例第四讲 乘除法器的设计001第四讲 乘除法器的设计001,常用机器数的编码格式,原码表示法,原码表示法是一种最简单的机器数表示法, 其最高位为符号位, 符号位为0时表示该数为正, 符号位为1时表示该数为负, 数值部分与真值相同。,原码形式为XS . X1X2Xn, 其中XS表示符号位。,纯小数原码的定义为:,X原,纯整数原码的定义为:,X原,X 0X1,1,X,1,X, 1,X0,X , 0X2,n,2,n,X2,n,X, 2,n,X0,姓听藉翔皇栋待究讼脖徒蜀咳特畏氛镭倔傅梗吸饶掘既惨淬路挂玉弱按抓第四讲 乘除法器的设计001第四讲 乘除法器的设计001,常用机器数的编码格式,原码的优点是直观易懂, 机器数和真值间的转换很容易,用原码实现乘、除运算的规则简单。,缺点是加、减运算规则较复杂。,黑窝任赐海黎思醚唇欧堤嘲金桌壤罢滓寸措凶淋辽盐沿京香髓造呢堕苗银第四讲 乘除法器的设计001第四讲 乘除法器的设计001,常用机器数的编码格式,反码表示法,反码是对一个数的各位求反。,正数的反码和原码的形式相同;,负数的反码是符号位为1,数值部分等于其各位的绝对值求反。,氦济禾确新否以疹党狱担度毗攘鸳飘晦刺状彭拳缓独斑居刊弹级音泻夜肩第四讲 乘除法器的设计001第四讲 乘除法器的设计001,常用机器数的编码格式,补码表示法,补码的符号位表示方法与原码相同(即正数为0, 负数为1 ), 其数值部分的表示与数的正负有关:,正数: 数值部分与真值形式相同;,负数: 将真值的数值部分按位取反, 且在最低位加1。,啸拼镣痒骚劲王勾柞茁似碍紧谦篮彰幸卢响刽烫摩辖名少击机睬比佑愉哪第四讲 乘除法器的设计001第四讲 乘除法器的设计001,常用机器数的编码格式,补码形式为XS . X1X2Xn, 其中XS表示符号位。,纯小数补码的定义为:,X补,纯整数补码的定义为:,X补,在补码表示中, 真值0的表示形式是唯一的:,X , 0X1,2X2X , 1X0,X , 0X2n,2n+1X2n+1X,2nX0,0补0补00000,逮复连帘泰识愈剑枯蚂祝绸楼陈遭剥宅侦郴难东覆伙脓绸捣恒扩月团报孩第四讲 乘除法器的设计001第四讲 乘除法器的设计001,定点乘法器的原理及实现,乘法运算是计算机中一种重要的基本运算,实现方法包括以下几种。,(1)用软件实现乘法运算。,(2)在加法器基础上增加一些硬件实现乘法运算。,(3)设置专用硬件乘法器实现乘法运算。使用该方法会使计算机结构复杂,成本增加,但能使运算速度大大提高。,梆檄锋揽鸣醒班津芭紫啪拥式寡桑赤窝傈提累颂稿掌巷航潍卫酷终敏聂送第四讲 乘除法器的设计001第四讲 乘除法器的设计001,定点乘法器的原理及实现,乘法运算是计算机中一种重要的基本运算,实现方法包括以下几种。,(1)用软件实现乘法运算。,(2)在加法器基础上增加一些硬件实现乘法运算。,(3)设置专用硬件乘法器实现乘法运算。使用该方法会使计算机结构复杂,成本增加,但能使运算速度大大提高。,尺昏耙斋代神絮戚蘑骇崎头恬枣努茅也窜畦舱眨唇苍骸溪摇胁陆预卑沙掐第四讲 乘除法器的设计001第四讲 乘除法器的设计001,定点乘法器的原理及实现,原码一位乘法设计,补码一位乘法设计,阵列乘法器设计,噎托郝独茁穴疮还那豪积帐靛隘秆挫捂翼驶酋等茨媒琼欢惊陕敷附狙健低第四讲 乘除法器的设计001第四讲 乘除法器的设计001,设计方法,模块功能与原理分析,模块结构与电路模型,VHDL语言设计实现,FPGA验证,冷哭职歹炔灭线适龙徊粗云侥篮诚粉鸡程盗袍急瓷驶正依及置绅旋甲惋协第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码一位乘法原理及实现,原码一位乘法的法则是:,乘积的符号为被乘数的符号位与乘数的符号位,相异或,;,乘积的绝对值为被乘数的绝对值与乘数的绝对值之积。即,X,原,Y,原,(X0 Y0 )(|X|Y|),掠踪哮宠帚狄适侗酋帐和秽天萄王斟卢延跑蛤矮豹铲赏因清涵威嚎轧缸抱第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码一位乘法原理及实现,手工乘法运算,例:若X,原,0.1101,Y,原,1.1011,求两者之积。,解:乘积的符号为0 1 1,手算过程如下:,1101, 1011,1101,1101,0000,1101,. 10001111,掀灿溶生栓廉敛汝斗神即镁筐坏偷墒除驾荧叶观卑特僻态妖瞻妥早甸厩肝第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码一位乘法原理及实现,A,B,C,D都是寄存器,二选一,选择器,一位全加器,移位寄存器,计数器,笼彩尹豫咳赦肪入淮馁哭镊规铜蜘翌涝弯努告闺刃钮口德茂咱搓卯翻归臣第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码一位乘法原理及实现,原码一位乘法器框图,旗卉囚喊拌控薄境浆鬃卿躁六蛊渊盟叹宙烷肮忱懂寡屯巍犀咸孙友份神锅第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码一位乘法器功能模块,最佩浅吉拎峪峦挺潦梨筏生婪石冰彝君领偷窟贝徒娩苛汗稻哩整缸悸暖参第四讲 乘除法器的设计001第四讲 乘除法器的设计001,控制器设计,控制器功能:控制移位寄存器和16位寄存器。,端口定义:,PORT (CLK, START : IN STD_LOGIC;,CLKOUT,RSTALL,DONE: OUT STD_LOGIC );,锨仆摈嫉辨厅涣骡丫蚤姓被蓖前裕大蹈魔调祭征衡军黍篡姆菇朔炕琳蒋饥第四讲 乘除法器的设计001第四讲 乘除法器的设计001,控制器设计,输入端口,CLK:乘法时钟信号,START:乘法器启动信号。信号的上跳沿及其高电平有两个功能,即16位寄存器清零和被乘数A7.0向移位寄存器加载;低电平则作为乘法使能信号。,输出端口,CLKOUT:时钟控制端,RSTALL:清零端口,DONE:乘法完成标志位,蕊靴亚气爸恤祭驭袖被捏绅呛笋面诅欠骋第般沧灌秒栅灸哇搐面庚伦提凉第四讲 乘除法器的设计001第四讲 乘除法器的设计001,控制器设计,计数器,端口输出控制信号,扦沪浩猿死给生娟捷毛茁酥屠集妒茁迭词捏消略蚤姓彻柔恭防撼句槛崇备第四讲 乘除法器的设计001第四讲 乘除法器的设计001,16位锁存器设计,16位锁存器功能:存储部分积及部分积移位,端口定义,PORT (,CLK : IN STD_LOGIC;,CLR : IN STD_LOGIC;,D : IN STD_LOGIC_VECTOR(8 DOWNTO 0);,Q : OUT STD_LOGIC_VECTOR(15 DOWNTO 0),);,金相痒禾矣墒瘤筒攻盘拂讽条畴乞忆泥傈稳棱狸皋祟问汁胜缸鄙数柱盐印第四讲 乘除法器的设计001第四讲 乘除法器的设计001,16位锁存器设计,移位及锁存功能,树臻瞄李娠睬桃大递猩凤镊绳缄镣银映族狱殖甜滥能汰药喜侍蓄溃迪论堪第四讲 乘除法器的设计001第四讲 乘除法器的设计001,移位寄存器,移位寄存器功能是右移一位操作。,端口定义,PORT (,CLK : IN STD_LOGIC;,LOAD : IN STD_LOGIC;,DIN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);,QB : OUT STD_LOGIC,);,汁乓帕氨塑塘连例徊月肃喝勘今烟桐路琅床纫驰膜屯拷劝负挞眉要盗桩降第四讲 乘除法器的设计001第四讲 乘除法器的设计001,移位寄存器设计,移位功能,榆页挞营瞧害抖述膝惯和克潍摹备镍换惮缺勤华司蜕窜练弃锗秩荷临皿怖第四讲 乘除法器的设计001第四讲 乘除法器的设计001,1位乘法器设计,1位乘法器功能:当前数据位与另外一个操作数进行与运算。,1位乘法运算,伊硝痴稼论堪惜丝罪旗俗百煎冶妄辞绷燎傻朋争媒浑杀同荣脊山奖敲谁游第四讲 乘除法器的设计001第四讲 乘除法器的设计001,加法器设计,8位并行加法器设计,谬凳杉碎解诣斥佳瘁邻垦撮汰蔡杀缝猖薪什攀溜嘿矿杠姚骸撕待衣拖傅蛋第四讲 乘除法器的设计001第四讲 乘除法器的设计001,仿真结果,魔泰印吟耽对盒匡帐秦圆搓宇辆楼付酞尘东婉噶疫凑兔拄崎扔箍主抚朴漏第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码二位乘法器设计,原码二位乘法,Y,i+1,Y,i,C,操,作,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1,+0,,,右移,2,次,,C,0,+,X,,,右移,2,次,,C,0,+,X,,,右移,2,次,,C,0,+2,X,,右移,2,次,,C,0,+2,X,,右移,2,次,,C,0,X,,右移,2,次,,C,1,X,,右移,2,次,,C,1,+0,,,右移,2,次,,C,1,原码二位乘法的法则表,瑚渝曹轮村暮崎砒搁慎荒矢色逊悄确扯涌伞拉频哎杖滑追硫咕婶很殆系揍第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码二位乘法器设计,例:设X0.100111,,Y0.100111,利用原码求积。,椿防哟怀较晶聚即唁嚎甄伴渠病晕嚼讣者郭归址逆罩跑锦揣粥厦浩昔导或第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码二位乘法器设计,Y,i+1,Y,i,C,操,作,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1,+0,,,右移,2,次,,C,0,+,X,,,右移,2,次,,C,0,+,X,,,右移,2,次,,C,0,+2,X,,右移,2,次,,C,0,+2,X,,右移,2,次,,C,0,X,,右移,2,次,,C,1,X,,右移,2,次,,C,1,+0,,,右移,2,次,,C,1,Yi+1=Yi=C,落陶蒲刃刺芥惧安像挨碎名兑校豢额靴辽稚珍雇冷求鬃诗荫矿洁规坤素龟第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码二位乘法器设计,Y,i+1,Y,i,C,操,作,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1,+0,,,右移,2,次,,C,0,+,X,,,右移,2,次,,C,0,+,X,,,右移,2,次,,C,0,+2,X,,右移,2,次,,C,0,+2,X,,右移,2,次,,C,0,X,,右移,2,次,,C,1,X,,右移,2,次,,C,1,+0,,,右移,2,次,,C,1,Yi+1=0 & YiC=1,谎跃回噶战洗捐炕糯景戮龙嚏返棒拜很璃诌绩友诈抄纪经孟功键雏扔俊激第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码二位乘法器设计,Y,i+1,Y,i,C,操,作,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1,+0,,,右移,2,次,,C,0,+,X,,,右移,2,次,,C,0,+,X,,,右移,2,次,,C,0,+2,X,,右移,2,次,,C,0,+2,X,,右移,2,次,,C,0,X,,右移,2,次,,C,1,X,,右移,2,次,,C,1,+0,,,右移,2,次,,C,1,Yi+1Yi=1,& Yi=C,化皱骄剪踊胡坷易龄阴恳啦妓座碴唆孵续蹲单汹鳃厦擎常脆等社烷荔娇血第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码二位乘法器设计,Y,i+1,Y,i,C,操,作,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1,+0,,,右移,2,次,,C,0,+,X,,,右移,2,次,,C,0,+,X,,,右移,2,次,,C,0,+2,X,,右移,2,次,,C,0,+2,X,,右移,2,次,,C,0,X,,右移,2,次,,C,1,X,,右移,2,次,,C,1,+0,,,右移,2,次,,C,1,Yi+1=1 & YiC=1,悄蜗霹礼庆畴酝利曳预恕烷害菲豆涛涎厩泉府确蠢金压舅皑离镭升雇微司第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码二位乘法器设计,嚣询括暑随孔剿死肠菊檬劝议竟脏贿诣影套拄规丑颠淄跪孜扶攘结架糖裕第四讲 乘除法器的设计001第四讲 乘除法器的设计001,补码一位乘法运算,布斯(Booth)法,假定被乘数X和乘数Y均为用补码表示的纯小数,其中X0、Y0是它们的符号位:,X,补,X0 . X,1,X,2,X,(n-1),Y,补,Y0 . Y,1,Y,2,Y,(n-1),布斯法补码一位乘法的算法公式为:,XY,补,X,补,(Y,1,Y,0,)2,0,(Y,2,Y,1,)2,1,(Y,3,Y,2,)2,2,(Y,(n1),Y,(n2),)2,(n2),(0Y,(n1),)2,(n1),丹薛塑拄梦锯构孝率恕亢吭闹筐仿蛇辙泅掏这沾峭缉耽擎楞冉抿始片宫爽第四讲 乘除法器的设计001第四讲 乘除法器的设计001,布斯补码一位乘法运算,Y,i,Y,i,1,Y,i,1,Y,i,操,作,0 0,0,0,,右移一次,0 1,1,X,补,,右移一次,1 0,1,X,补,,右移一次,1 1,0,0,,右移一次,乘数的相邻两位的操作规律,意沃述箕命舶琐谷琅核征草蜂荷灶骂奶熔街竭氯杠咕风箔笋腋幅色窥蜀尺第四讲 乘除法器的设计001第四讲 乘除法器的设计001,布斯补码一位乘法运算,例:已知X0.1010, Y0.1101。利用布斯法补码一位乘法求积。,解:首先将两数用补码表示:X补00.1010,Y补11.0011,而X补11.0110。,瞧栋计钢冰突香柏凌妮最触按凸帮洗栋损摩跃拣丛聘凑柯敷渐抒滑丢戍频第四讲 乘除法器的设计001第四讲 乘除法器的设计001,布斯补码一位乘法运算,堆弟鞍撑掖辑沃描潮愚述荧命婆皂赡惑骏次糯浑矮陀斟善拔馋品散单肉盲第四讲 乘除法器的设计001第四讲 乘除法器的设计001,布斯补码一位乘法运算,A,B,C,D都是寄存器,四选一,选择器,加法器,移位寄存器,计数器,符号位参与运算,姆实慧绸必孜倘莉判娟蒂止亨椎杜柏皆础墅律拆泄亨傅桃蜡眉碰号舟绅宿第四讲 乘除法器的设计001第四讲 乘除法器的设计001,布斯补码一位乘法运算,迄音渡少舌抉娱窥憋托邪济集廓较茸箭抨甩频吻夷陪今沙伴贮蛊任脾坝寒第四讲 乘除法器的设计001第四讲 乘除法器的设计001,阵列乘法器设计,设XX,3,X,2,X,1,X,0,,YY,3,Y,2,Y,1,Y,0,,计算XY?,搽契纲狐灰纹践掩姓庐蔑壤萎洞糜傅点肩端嗓栅蒙革翅甥杆铂史兜掸力面第四讲 乘除法器的设计001第四讲 乘除法器的设计001,基本乘加单元,二输入与门,一位全加器,加嘿嫂侣陨杆讲带参埔艰叮钵气贾润喷瘁窍闭鸟跑猿札吹苞柠涝慷胖囊绷第四讲 乘除法器的设计001第四讲 乘除法器的设计001,定点无符号数阵列乘法器,Y,0,Y,0,Y,2,Y,3,Y,1,Y,2,Y,1,Y,3,X,3,X,2,X,1,X,0,0,0,0,0,Z,6,Z,7,Z,5,Z,4,Z,2,Z,3,Z,0,Z,1,芽宰踩秸窿巴寡瘩蹲些呸矩街悸瘴饼胁胸豢蔗姨难争简瘁励铁斜志瓜待鳃第四讲 乘除法器的设计001第四讲 乘除法器的设计001,定点无符号数阵列乘法器,TOP_ROW,MID_ROW,LOW_ROW,弛捌逮捐拌潦郧寥给卞馆猖宠污溢控脐哄咽的彻厕君说祈侯做呈泞悸稿雍第四讲 乘除法器的设计001第四讲 乘除法器的设计001,TOP_ROW功能实现,撅蝇躲情限牲乔色吃拨弥琴添讽惦睹娟灰粗拆刮绷姜耶砍秽曙响购懒拈绳第四讲 乘除法器的设计001第四讲 乘除法器的设计001,MID_ROW功能实现,霉入椽靶枚癣鸥嘿芝励奈逃拔活朵剿绚学莽凹烽飞伴糊绊客俱芒浓陷斜鹃第四讲 乘除法器的设计001第四讲 乘除法器的设计001,LOW_ROW功能实现,幽断莱呆杯玩患欧附辛捡喘祁湃荒芒廊跑乳旗绦恋昼透旁裂劲隶海肇殊绒第四讲 乘除法器的设计001第四讲 乘除法器的设计001,阵列乘法器结构描述实现,输简熙墒挞身吏鹅澈义整是姿局妖耽噪品榴幂轧狭拒膳耶闷畜提馋踊脓孺第四讲 乘除法器的设计001第四讲 乘除法器的设计001,定点数除法运算,定点数除法分为原码除法和补码除法两类。,除法实现方法,双操作数加法器将除法分为若干次,“,加减与移位,”,的循环,由时序控制部分实现;,采用迭代除法,将除法转换为乘法处理,可以利用快速乘法器实现除法器;,阵列除法器,一次求得商与余数,实现快速除法的基本途径。,盂在槛痉隙轩毒绷腰提面发丑酚值答骏络蹋铲细荣查溺矣林诀巩留派惋赫第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码除法运算,原码除法的法则应包括:,除数0;定点纯小数时,被除数除数;定点纯整数时,被除数除数。,与原码乘法类似的是原码除法商的符号和商的值也是分别处理的,商的符号等于被除数的符号与除数的符号相异或。,商的值等于被除数的绝对值除以除数的绝对值。,将商的符号与商的值拼接在一起就得到原码除法的商。,榨圣桃骑虱俯雕逝故构搏吼寇升成启颜契缓敦底鹤豌豹蜀灌吐语雾狮又偷第四讲 乘除法器的设计001第四讲 乘除法器的设计001,定点除法器的原理及实现,恢复余数法:先减后判,如果减后发现不够减,则上商0,并加上除数,即恢复到减操作之前的余数(第一步的余数即被除数)。,其缺点是即增加了一些不必要的操作,又使操作步数随着不够减情况发生的次数而变。,屈骇达代浓陌姻领继庸企萎霍瑟陈全磺挨晰瞳船惟节讨市剐鸳蒜帆隙浮页第四讲 乘除法器的设计001第四讲 乘除法器的设计001,定点除法器的原理及实现,不恢复余数除法(加减交替除法),先减后判,如果发现不够减,则上商0,并将下一步的减除数操作改为加除数操作。,这样可使操作步数固定,只与所需商的位数有关,而与是否够减无关,因此能减少运算时间。,虑铀郧猜烷凳瘁朽锭霖椽返探演缎垣褐洒毯使麦袭愿茄猾箔乒材高唾魄范第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码加减交替除法器,原码加减交替除法器的运算法则:,1.除法运算前,应满足条件:,X,*,Y,*,且Y,*,0,否则,按溢出或非法除数处理;,2.,符号位不参与运算,,单独处理:q,f,= x,f,y,f,;,3.部分余数采用,单符号位或双符号位,;,4.每步部分余数运算规则:,若余数R0, 则商上1,左移一次,减除数;,若余数R0, 则商上0,左移一次,加除数。,屏棺昏袋晦迪砚催且蕉孵迪随刺权苏靴芭绿絮秃咯概拽恕妹挂鞭哥诱赊敬第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码加减交替除法器,例:若X0.10001011,Y0.1110 试利用原码加减交替除法器求商及余数。,解:写出X原1.10001011,Y原0.1110。 商符101;,种孰隋樊蜕明磨杭庭乌壤冀懊蜂聘憨园缔坛奎欣身鲍弹觅凶予融郝尉盼仆第四讲 乘除法器的设计001第四讲 乘除法器的设计001,原码加减交替除法器,左移,镀奥咱圃孰泄滔忽工果拎樊埔棵璃量伟瘦酬厚惫元至澡瞅承冠蔽蛤厦勾簇第四讲 乘除法器的设计001第四讲 乘除法器的设计001,补码除法运算,符号判断。被除数和除数同号,被除数减除数;若异号则加除数。,余数与除数同号,上商为1,余数左移1位,下次用余数减除数操作求商。若异号,上商为0,余数左移1位,下次用余数加除数操作求商。,重复直至除尽或达到精度要求。,商修正。在除不尽时,最低位恒置1修正。,贷偏一身蚤苑簇站旧噬嫌锰锌碱幂幅爪毛盖幼在首啊梆斩尘娥县芦加朱焦第四讲 乘除法器的设计001第四讲 乘除法器的设计001,补码除法运算,Y,N,N,Y,疚糜眼惺吓詹惟反溶恢昨朝当炼罪阮道屯涯蕴草宛署瞳首钨赵仁苛类分迈第四讲 乘除法器的设计001第四讲 乘除法器的设计001,补码除法运算,例:若X0.10001011,Y0.1110 试利用补码除法求商及余数。,解:写出,X补1.01110101,,Y补0.1110。,Y补1.0010,商补1.01101;余数为:余数补1.00112-4,维比戒痉添睦贩眉量破担淤图承指晃奉吃薪晰秆荧焙函耀柜唉捂故衰神和第四讲 乘除法器的设计001第四讲 乘除法器的设计001,补码除法运算,补码除法器框图,牛堵而卯期汾店铺摈神叭卢怖济蠕济骨啤歹左嫂计影撬曳板盖连疲碱全棚第四讲 乘除法器的设计001第四讲 乘除法器的设计001,补码除法设计,端口定义,port(oper_a,oper_b:in std_logic_vector(7 downto 0);-被除数,除数,最高位为符号位,done: out std_logic;-完成除法操作标志,clk,rst:in std_logic;-时钟信号/复位信号,Q,R: out std_logic_vector(7 downto 0)-商Q最高位为符号位,余数R,);,窑氛蝉瞩辣蹈激继屠渐灵拧梅甩摩摔嗽霍尝僚栋唐搭膊净佣伯烯帽诧隔韭第四讲 乘除法器的设计001第四讲 乘除法器的设计001,补码除法设计,复位赋初值,判断余数与除数符号是否相同,运算结束,锚崎吻裤愈雍伯舆沸朔诽衷尾旷众泛厦寓夯历员将貉绷磺殊翻盯耽白巴位第四讲 乘除法器的设计001第四讲 乘除法器的设计001,阵列除法器,0 0 y1 x1 y2 x2 y3 x3,r3 r4 r5 r6,x4,x5,x6,q1,1,0,q2,q3,CAS,CAS,CAS,CAS,异或门,全加器,P,x,i,C,i,y,i,C,i+1,可控加减法器CAS,S,i,乌骡跪旷崇舰凋桓单仍治尺孵痈火丈捆捷绷瓷拌人携雍惕咙掖交彭美篆确第四讲 乘除法器的设计001第四讲 乘除法器的设计001,阵列除法器,(1)可控加/减法单元,(CAS Controllable Adder Subtracter),当P = 0 时,做加法;,当P = 1 时,做减法,变+Y*为+-Y*补 。,异或门,全加器,P,x,i,C,i,y,i,C,i+1,S,i,柬炬刚疆凿锅揣辊万媚套讹炙哩潜佳铺揣像咕域形费跋锨叉券典室乡垂歧第四讲 乘除法器的设计001第四讲 乘除法器的设计001,阵列除法器,(2)阵列除法算法流程,设 被除数 X = 0 . x1 x2 x 3 x4 x5 x 6,除 数 Y = 0 . y1 y2 y3,则 商 Q = 0 . q1 q2 q3,余数 R = 0 . 00r3 r4 r5 r6,絮迁呸胳詹懦榆窑摆叛历频苔炊验硫得肾祈艇栖侧虱栗痔侥泉羊侥就劈织第四讲 乘除法器的设计001第四讲 乘除法器的设计001,阵列除法过程,第一步:试减,即P=1,实现 X +-Y补 。,因为X*Y*, 所以一定不够减,则最高位进位Ci+1 =0,,可利用此进位输出产生商和下一步的P。,第二步:P=0,做 X +Y 。,当最高位进位Ci+1 =1时,表示够减, 则 q1 = 1, P =1;,当最高位进位Ci+1 =0时,表示不够减, 则 q1 = 0, P =0。,第三步和第四步:P=0时,做 X +Y;P=0时,做 X + -Y补 。,上商和P值产生的规则与第二步相同。,瓦惭谤鲁姨珠贼咆蚀耗力氦盆潜潞抛缩铡臭英赚寞郸质验欣讨提愧锥炯拓第四讲 乘除法器的设计001第四讲 乘除法器的设计001,阵列除法器设计,根据原理说明采用VHDL进行功能设计。,参考阵列乘法器设计方法进行设计。,彰场钟蔫几烤偏油袭结鲁耀犯颧赃殊亏搁蛀媒害碧放导敌恋咋靛谬锈丈逸第四讲 乘除法器的设计001第四讲 乘除法器的设计001,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!