可编程逻辑电路四版

上传人:gb****c 文档编号:243305470 上传时间:2024-09-20 格式:PPT 页数:63 大小:1.98MB
返回 下载 相关 举报
可编程逻辑电路四版_第1页
第1页 / 共63页
可编程逻辑电路四版_第2页
第2页 / 共63页
可编程逻辑电路四版_第3页
第3页 / 共63页
点击查看更多>>
资源描述
单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,第六章 可编程逻辑电路,“软件固化”, “以存代算”思想的体现,用软件设计硬件:硬件描述语言(,HDL),硬件设计的进步:方便、灵活、可修改设计,用户可编程,设计方便,主要内容: 可编程逻辑器件及应用,1,目录,6-2 只读存储器(ROM),6-3 随机存储器(RAM),6-4 可编程逻辑器件概述(PAD),6-5 通用阵列逻辑GAL,6-1 导论,6-6 现场可编程门阵列 FPGA,2,最常用的可编程逻辑器件,可编程逻辑器件PLD (Programmable Logic Device) 是一大类器件的总称,包括:,ROM,(Read-Only Memory),只读存储器,PLA,(Programmable Logic Array),可编程逻辑阵列,PAL,(Programmable Array Logic),可编程阵列逻辑,GAL,(General Array Logic),通用阵列逻辑,还有,FPGA,CPLD,等,6-1 导论,3,6-2 只读存储器(ROM),两大类存储器(Memory),ROM (Read-Only Memory),一旦信息写入,在机器上只读,RAM (Random-Access Memory),随机存储器,在运行状态可读可写,ROM功能,存放固定信息,程序,常数,指令,.,ROM的优点,信息非“易失”(,Nonvolatile),简单,容量大,4,ROM分类,ROM,PROM,Mask PROM,EPROM,UV EPROM,E,2,PROM,Flash E,2,PROM,Standard E,2,PROM,OTP PROM,多次编程,一次编程,工厂编程,用户编程,5,只读存储器ROM分类,ROM,掩膜ROM,内容只能读出,不能改变,.,半导体厂家用掩膜技术写入程序,成本低,,适用于批量生产,不适用研究工作,PROM可编程ROM,内容只能读出,不能改变.,用户使用特殊方法进行编程,只,能写一次,一次编程不能修改。,适用于批量生产,不适用研究工作,EPROM光可擦除PROM,固化程序用紫外线光照5,15分钟擦除,,擦除后可以重新固化新的程,序和数据。,用户可以对芯片进行多次编程,和擦除。,适用于研究工作,不适用于批量生,产。,E,2,PROM电可擦除,PROM,实现全片和字节擦写改写,,作为非易失性,RAM使用。,集成度和速度不及EPROM,,价格高,,擦写在原系统中在线进行。,Flash Memory,快速电擦写存储器,可以整体电擦除(时间1S),和按字节重新高速编程。,CMOS 低功耗;,编程快,(每个字节编程100s,整个芯片0. 5s);,擦写次数多,(通常可达到10万),与E,2,PROM比较:容量大、价格,低、可靠性高等优势。,用于PC机内装操,作系统和系统不,能丢失初始功能,的专门领域。,需要周期性地修,改被存储的数据,表的场合。,内存,细分,信息存取方式,特点,用途,6,ROM结构,字线,位线,4字4位,存储体,输出缓冲器,W,0,W,1,W,2,W,3,A,0,A,1,B,3,B,2,B,1,B,0,F,3,F,2,F,1,F,0,地址译码器,地址,7,ROM的,工作原理,0,0,1,1,0,0,0,1,1,1,0,0,导通,导通,8,存储矩阵是一个“或”逻辑阵列,W,3,=,A,1,A,0,m,3,m,2,W,2,=,A,1,A,0,m,1,W,1,=,A,1,A,0,m,0,W,0,=,A,1,A,0,A,0,A,1,地,址,译,码,器,D,3,D,2,D,1,D,0,简化的 ROM存储矩阵阵列图,有二极管,无二极管,9,存储体或阵列可以画为:,W,0,W,1,W,2,W,3,B,0,B,1,B,2,B,3,W,0,W,1,W,2,W,3,B,0,+,W,0,W,2,B,0,+,+,10,地址译码:与阵列,A,0,A,1,W,0,W,1,W,2,W,3,A,0,_,A,0,A,1,_,A,1,A,0,A,1,W,0,A,0,A,0,A,1,A,1,W,0,ROM,字数很大时,译码系统很复杂。,字数较大,采用多级译码,字数很少,一级译码,11,PROM,与阵列固定、或阵列可编程,W,0,W,1,W,2,W,3,A,0,A,1,+,+,+,+,Y,0,Y,1,Y,2,Y,3,固定连接,可编程连接,不连接,12,8x4 ROM,A,0,A,1,A,2,F,0,F,1,F,2,F,3,与阵列,不可编程,或阵列,可编程,8个存储单元,每个单元存储4位二进制数码。,13,512 x 8PROM芯片结构,存储阵列,8-1Mux,64x64,译码,64,A,8,A,3,.,缓冲,3,A,2,A,1,A,0,6位,3位,.,.,F,7,F,6,.,F,0,8,8,8,CE,0,CE,1,CE,2,缓冲,14,ROM的应用,1、位扩展,用4片,32,8ROM,扩展成,3232ROM。,【例】,15,2、字扩展,用256片,(512x8),ROM芯片扩展成,128Kx8,ROM,512x8,ROM,512x8,ROM,512x8,ROM,512x8,ROM,.,.,.,.,16片,16片,4-16,4-16 译码,列选择,行选择,_,CE,0,_,CE,1,【例1】,16,用2片,(32x8),ROM芯片扩展成,128x4,ROM,【例2】,17,3、用存储器实现组合逻辑函数,【例1】,试用ROM设计一个八段字符显示的译码器。,1 0 0 0 1 1 1 0,1 1 1 1,1 1 0 1 1 1 1 0,1 1 1 0,0 1 1 1 1 0 1 0,1 1 0 1,0 0 0 1 1 0 1 0,1 1 0 0,0 0 1 1 1 1 1 0,1 0 1 1,1 1 1 1 1 0 1 0,1 0 1 0,1 1 1 1 0 1 1 1,1 0 0 1,1 1 1 1 1 1 1 1,1 0 0 0,1 1 1 0 0 0 0 1,0 1 1 1,1 0 1 1 1 1 1 1,0 1 1 0,1 0 1 1 0 1 1 1,0 1 0 1,0 1 1 0 0 1 1 1,0 1 0 0,1 1 1 1 0 0 1 1,0 0 1 1,1 1 0 1 1 0 1 1,0 0 1 0,0 1 1 0 0 0 0 1,0 0 0 1,1 1 1 1 1 1 0 1,0 0 0 0,a b c d e f g h,D C B A,显 示,输 出,输 入,18,电路图,EN,19,【例2】,试用ROM产生如下的一组多输出逻辑函数,Y,1,=DCB+DCB,Y,2,=DCBA+CBA+DCBA,Y,3,=DCBA+DCBA,Y,4,=DCBA+DCBA,解:将原式化为最小项之和的形式,Y,1,=DCBA+DCBA+DCBA+DCBA=m,2,+m,3,+m,6,+m,7,Y,2,=DCBA+DCBA+DCBA+DCBA=m,6,+m,7,+m,10,+m,14,Y,3,=DCBA+DCBA=m,4,+m,14,Y,4,=DCBA+DCBA=m,2,+m,15,20,点阵图,Y,1,=DCBA+DCBA+DCBA+DCBA=m,2,+m,3,+m,6,+m,7,Y,2,=DCBA+DCBA+DCBA+DCBA=m,6,+m,7,+m,10,+m,14,Y,3,=DCBA+DCBA=m,4,+m,14,Y,4,=DCBA+DCBA=m,2,+m,15,D,C,B,A,m,0,m,1,m,2,m,14,m,15,21,4、字符发生器,字符:0、1点阵组成,例如:字母E,1,1,1,1,1,1,0,0,0,0,1,0,0,0,0,1,1,1,1,0,1,0,0,0,0,1,0,0,0,0,1,1,1,1,1,7x5点阵,7x5 ROM,计,数,器,CK,译,码,器,22,RAM按着工作原理分为:,6-3 随机存储器,1. 静态随机存储器SRAM,2. 动态随机存储器DRAM,23,一、静态,RAM,的结构和工作原理,24,(1)静态存储单元,图中,VT,1,VT,4,构成,RS,触发器,用,以存储二进制信息。,VT,5,、,VT,6,为门控管,其状态由行,线(,X,i,)决定。,X,i,=1,时,,VT,5,、,VT,6,导通,,Q,和 的状态送至位线(,B,j,、 ),上。,VT,7,、,VT,8,是每列存储单,元的门控管,其状态取决于,列线,Y,j,。,Y,j,=1,时,,VT,7,、,VT,8,导通,数据端,D,、和位线接,通,并通过三态门G,1,G,3,进,行读(输出)、写(输入),等操作。,二、,RAM,的存储单元,25,由,G,1,G,3,三个三态缓冲器构成读写控制电路。,时,,G,2,、,G,3,截止,,G,1,导通,数据,D,送至,I/O,线上,进行读出;,时,,G,1,截止,,G,2,、,G,3,导通,,I/O,线上的数据送入存储单元,进行写入。,26,一个三,MOS,管动态单元,信息存储在,VT,2,管的栅极电容,C,g,上,用,C,g,上的电压控制,VT,2,的状态。,读字线控制,VT,2,管, 写字线控制,VT,1,管。,VT,4,管是同列若干存储单元的写入时的预充管,图7-2-3 三管动态存储单元,27,在进行读操作时,首先使位 线上的电容,C,D,预充到,V,DD,,然后 选通读字线为高电平,则,VT,3,管 导通。如果,C,g,上的电压超过了,VT,2,管的开启电压,,VT,2,和,VT,3,均导通,,C,D,将通过,VT,2,和,VT,3,放 电到低电平。如果,C,g,上没有电荷,VT,2,管截止,,C,D,没有放电通路, 仍保持预充后的高电平。可见, 在读位线上获得的电平和栅极 电容,C,g,上的电平是相反的。通 过读出放大器可将读位线上的 电平数据送至存储器的输出端。,图7-2-3 三管动态存储单元,28,在进行写操作时,控制写字线为高电平,使,VT,1,管导通。由存储器输入端送来的信号传到写位 线,通过,VT,1,管控制,C,g,上的电位,将信息存储到,C,g,上。,因为,C,g,存在漏电,需要对,C,g,上的信息定时刷新。可周,期性的读出,C,g,上信息到读位,线上,经过反相器,再对存,储单元进行写操作,即可完,成刷新。,29,该电路中的预充电作用很重要,可以防止存储电容,C,1,(或,C,2,)上的电荷在数据读出时有损失,以免输出的高电平受破坏。,30,6-4 可编程逻辑器件概述,PROM的特点:,地址,字,一一对应,所需存储容量大,信息表完全,PLA,针对,ROM,这一特点,逻辑压缩,W,0,W,1,W,2,W,3,A,0,A,1,+,+,+,+,Y,0,Y,1,Y,2,Y,3,PROM,与阵列固定、或阵列可编程,W,0,W,1,W,2,W,3,A,0,A,1,+,+,+,+,Y,0,Y,1,Y,2,Y,3,PLA,与、或阵列均可编程,31,集成化的PLA,16路输入,8路输出,I,0,I,1,I,15,“或”矩阵,“与”矩阵,V,CC,48 P项,可控求反异或门,32,【例】,存储信息表,输 入,输 出,I,3,I,2,I,1,I,0,F,7,F,6,F,5,F,4,F,3,F,2,F,1,F,0,0 0 0 0,0 0 0 0 0 0 0 0,0 0 0 1,0 0 0 0 0 0 0 1,0 0 1 0,0 0 0 0 0 1 0 0,0 0 1 1,0 0 0 0 1 0 0 1,0 1 0 0,0 0 0 1 0 0 0 0,0 1 0 1,0 0 1 1 1 0 0 1,0 1 1 0,0 0 0 0 0 1 0 0,0 1 1 1,0 0 1 1 0 0 0 1,1 0 0 0,0 1 0 0 0 0 0 0,1 0 0 1,0 1 0 1 0 0 0 1,1 0 1 0,0 1 0 0 0 1 0 0,1 0 1 1,0 1 0 1 0 0 0 1,1 1 0 0,0 0 0 1 0 0 0 0,1 1 0 1,0 0 0 0 1 0 0 1,1 1 1 0,1 1 1 0 0 1 0 0,1 1 1 1,1 1 1 0 0 0 0 1,33,用16x8 ROM存储,F,0,F,1,F,2,F,3,F,4,F,5,F,6,F,7,I,0,I,1,I,2,I,3,P,0,P,1,P,2,P,3,P,4,P,5,P,6,P,7,P,8,I,0,I,1,I,2,I,3,P,0,P,1,P,2,P,3,P,4,P,5,P,6,P,7,P,8,P,15,ROM容量:与阵列8x16,或阵列 16x8,总容量256。,34,用PLA存储,将表达式逻辑压缩(化简),输 入,输 出,I,3,I,2,I,1,I,0,F,7,F,6,F,5,F,4,F,3,F,2,F,1,F,0,0 0 0 0,0 0 0 0 0 0 0 0,0 0 0 1,0 0 0 0 0 0 0 1,0 0 1 0,0 0 0 0 0 1 0 0,0 0 1 1,0 0 0 0 1 0 0 1,0 1 0 0,0 0 0 1 0 0 0 0,0 1 0 1,0 0 1 1 1 0 0 1,0 1 1 0,0 0 0 0 0 1 0 0,0 1 1 1,0 0 1 1 0 0 0 1,1 0 0 0,0 1 0 0 0 0 0 0,1 0 0 1,0 1 0 1 0 0 0 1,1 0 1 0,0 1 0 0 0 1 0 0,1 0 1 1,0 1 0 1 0 0 0 1,1 1 0 0,0 0 0 1 0 0 0 0,1 1 0 1,0 0 0 0 1 0 0 1,1 1 1 0,1 1 1 0 0 1 0 0,1 1 1 1,1 1 1 0 0 0 0 1,F,0,=I,0,F,1,=0,F,2,=I,1,I,0,F,3,=I,2,I,1,I,0,+I,2,I,1,I,0,F,4,=I,2,I,1,I,0,+I,3,I,2,I,0,+I,3,I,2,I,0,F,5,=I,3,I,2,I,0,+I,3,I,2,I,1,F,6,=I,3,I,2,+I,3,I,2,I,1,F,7,=I,3,I,2,I,1,=P,0,=P,1,=P,2,+P,3,=P,4,+P,5,+P,6,=P,5,+P,7,=P,8,+P,7,=P,7,35,点阵图,P,0,=I,0,P,1,=I,1,I,0,P,2,=I,2,I,1,I,0,P,3,=I,2,I,1,I,0,P,4,=I,2,I,1,I,0,P,5,=I,3,I,2,I,0,P,6,=I,3,I,2,I,0,P,7,=I,3,I,2,I,1,P,8,=I,3,I,2,F,0,=P,0,F,2,=P,1,F,3,=P,2,+P,3,F,5,=P,5,+P,7,F,6,=P,8,+P,7,F,7,=P,7,F,1,=0,F,4,=P,4,+P,5,+P,6,PLA容量,与阵列:,8x9,或阵列:,8x9,2N(输入数),M(输出数),P项数,总点数:144,I,0,I,1,I,2,I,3,I,0,I,1,I,2,I,3,P,0,P,1,P,2,P,3,P,4,P,5,P,6,P,7,P,8,P,0,P,1,P,2,P,3,P,4,P,5,P,6,P,7,P,8,F,0,F,1,F,2,F,3,F,4,F,5,F,6,F,7,36,存入信息表的PLA,P,0,=I,0,P,1,=I,1,I,0,P,2,=I,2,I,1,I,0,P,3,=I,2,I,1,I,0,P,4,=I,2,I,1,I,0,P,5,=I,3,I,2,I,0,P,6,=I,3,I,2,I,0,P,7,=I,3,I,2,I,1,P,8,=I,3,I,2,F,0,=P,0,F,2,=P,1,F,3,=P,2,+P,3,F,5,=P,5,+P,7,F,6,=P,8,+P,7,F,7,=P,7,F,1,=0,F,4,=P,4,+P,5,+P,6,F,0,F,2,F,3,F,4,F,5,F,6,F,7,F,1,I,0,I,1,I,2,I,3,P,0,P,1,P,2,P,3,P,4,P,5,P,6,P,7,P,8,V,CC,37,PLA的特点,与阵列可编,ROM的译码器是完全译码器。N个输入必然有2,N,个字。PLA的地址译码器是非完全译码器。用户可编程,形成P项(不是最小项),。,非完全寻址。,非对应关系。,ROM中,信息表示原封不动地装入存储矩阵中。PLA中,存入存储矩阵中的内容是经过化简、压缩的,它和信息表不是一一对应的关系。,38,PLA应用举例,【例1】,由PLA和D触发器组成同时具有BCD和Gray输出的计数器,Counter,BCD码,Gray码,CP,CP,BCD,Gray,W,X,Y,Z,K,L,M,N,P,0,0,0,0,0,0,0,0,0,0,1,0,0,0,1,0,0,0,0,1,2,0,0,1,0,0,0,0,1,1,3,0,0,1,1,0,0,1,1,1,4,0,1,0,0,0,1,1,1,1,5,0,1,0,1,1,1,1,1,1,6,0,1,1,0,1,1,1,1,0,7,0,1,1,1,1,1,1,0,0,8,1,0,0,0,1,1,0,0,0,9,1,0,0,1,1,0,0,0,0,39,BCD码控制函数:,D,C,B,A,D,A,C,B,A,C,B,C,A,D,B,A,B,A,A,Q,Q,Q,Q,Q,Q,D,Q,Q,Q,Q,Q,Q,Q,D,Q,Q,Q,Q,Q,D,Q,D,+,=,+,+,=,+,=,=,D,C,B,A,7,6,5,4,3,2,1,0,P,P,P,P,P,P,P,P,+,=,+,+,=,+,=,=,D,A,D,B,D,C,D,D,D,A,D,B,D,C,D,D,D Q,D Q,D Q,D Q,Q,A,Q,B,Q,C,Q,D,P,0,P,1,P,2,P,3,P,4,P,5,P,6,P,7,不要忘记画CP!,40,用PLA和D触发器组成的同步十进制计数器,D,A,=Q,A,D,B,=Q,A,Q,B,+Q,A,Q,B,Q,D,D,C,=Q,A,Q,C,+Q,B,Q,C,+Q,A,Q,B,Q,C,D,D,=Q,A,Q,D,+Q,A,Q,B,Q,C,Q,D,7,6,5,4,3,2,1,0,P,P,P,P,P,P,P,P,+,=,+,+,=,+,=,=,D,A,D,B,D,C,D,D,41,如何通过PLA输出,而不是从触发器输出?,.,D,Q,A,Q,B,Q,C,Q,D,Z,Y,X,W,42,具有二十进制和循环码变换功能的十进制计数器,CP,BCD,Gray,W,X,Y,Z,K,L,M,N,P,0,0,0,0,0,0,0,0,0,0,1,0,0,0,1,0,0,0,0,1,2,0,0,1,0,0,0,0,1,1,3,0,0,1,1,0,0,1,1,1,4,0,1,0,0,0,1,1,1,1,5,0,1,0,1,1,1,1,1,1,6,0,1,1,0,1,1,1,1,0,7,0,1,1,1,1,1,1,0,0,8,1,0,0,0,1,1,0,0,0,9,1,0,0,1,1,0,0,0,0,43,【例2】,由PLA和D触发器实现4位可变模数计数器,二进制计数器进位逻辑,判断逻辑,T=1, 继续计数; T=0,复位到0000.,D,A,= Q,A,D,B,= Q,B,Q,A,+Q,B,Q,A,D,C,= Q,C,Q,B,Q,A,+Q,C,Q,B,+Q,C,Q,A,D,D,= Q,D,Q,C,Q,B,Q,A,+Q,D,Q,C,+Q,D,Q,B,+Q,D,Q,A,(,(,(,(,),),),T,T,),T,T,计数器控制函数:,T=(Q,A,A+Q,A,A,)+(Q,B,B+Q,B,B,)+(Q,C,C+Q,C,C,)+(Q,D,D+Q,D,D,),44,4位可变模数计数器,45,ROM, PLA,或阵列可编程,与、或阵列都可编程,,灵活,节省码点,PLA, PAL,工艺:简化工艺,降低成本(熔丝工艺,一次编程),结构:输入/输出公用,PAL,是专用词,,MMI,公司的产品,46,结构,PLA,与、或阵列均可编程,PAL,与阵列可编程、或阵列固定,W,0,W,1,W,2,W,3,A,0,A,1,+,+,+,+,Y,0,Y,1,Y,2,Y,3,W,0,W,1,W,2,W,3,+,+,+,+,A,0,A,1,Y,0,Y,1,Y,2,Y,3,47,PAL画图的方式:,只留出可编程的与阵列,固定的或阵列用与或门,互补输出封锁多余或项,+,.,.,.,I,0,I,1,O,0,D Q,CP,I,7,P,0,P,1,.,P,7,D= P,0,+P,1,+P,2,+P,7,48,几种PLA的原理图,带有反馈的阵列型PAL,I,0,I,1,I,7,(I/O),0,(I/O),1,(I/O),7,49,几种PLA的原理图,输出三态门由P来控制的反馈阵列型PAL(局部),带有反馈的寄存器型PAL(局部),异或型PAL(局部),I,I,I,I/O,Q,I/O,Q,I/O,E,CP,CP,E,50,16R6型PAL产品,CLK,I,1,I,2,I,3,I,4,I,5,I,6,I,7,I,8,Q,7,Q,6,Q,5,Q,4,Q,3,Q,2,OE,(I/O),8,(I/O),1,16个变量,6个寄存器 32列对应16个变量,每一行是一个P项, 每个P项最多32个因子相与,每个输出变量(FF的控制函数)有8个P项相或.,与阵列规模:64*32,出厂时与阵列每一点都可编程,此图交叉点都是可编程的!,51,【例】,4位双向移位寄存器装入PAL16R6,双向移位寄存器功能表,双向移位寄存器功能表,52,实现双向移位功能的PAL,53,6-5 通用阵列逻辑GAL,PAL, GAL,基本逻辑结构与,PAL,相同,或阵列不可编程。,电擦除工艺,可以重复编程. 修改设计方便,可以,重复试验。,GAL,每个输出接有输出宏单元,OLMC ,通过对,OLMC,编程,可以得到多种输出方式:寄存器输出,组合逻辑输出等。,54,典型GAL器件,GAL16V8,16个输入变量,其中右侧8个在OLMC控制下,可以配置为输入或输出. 8,8=64行为可编程的与阵列,对应64个P项.,不可编程的或阵列在OLMC内部.,55,OLMC结构:,4个数据选择器(MUX)是核心,在结构控制字的控制下,选择不同的输入,构成不同的输出结构. 书上247页4种不同类型的PAL都统一到一个GAL内部,因此叫“通用阵列逻辑”.,56,6-6,现场可编程门阵列,FPGA,一、 FPGA,的基本结构,57,6.6.2 FPGA,的编程,58,1.,设计的输入,使用,MAX+PLUSII,软件支持的高级行为语言VHDL的语言结构,2编程数据的装载,首先应对管脚进行分配,59,例:,用,VHDL,语言描述同步计数器。,有一个同步,16,进制计数器,功能表如下:,输入端,输出端,clr,en,clk,qd,qc,qb,qa,1,0,0,0,0,0,0,不变,不变,不变,不变,0,1,计数值加,1,60,library ieee; IEEE,库,use ieee.std_logic_1164.all;,使用,IEEE,中的,STD,库,use ieee.std_logic_unsigned.all;,使用,IEEE,中的,UNSIGNED,库,entity count16 is,计数器,count16,是一个实体,port(clk,clr,en:in std_logic;,输入,clk,clr,en,是逻辑变量,qa,qb,qc,qd: out std_logic);,输出,qa,qb,qc,qd,是逻辑变量,end;,描述,count16,结束,61,architecture RTL of count16 is,构造一个,16,进制计数器,构造体名为,RTL,signal count_4:std_logic_vector(3 downto 0);,四位计数器位数从,3,到,0,begin,qa=count_4(0);,计数器中的,qa,是,0,位,qb=count_4(1);,计数器中的,qb,是,1,位,qc=count_4(2);,计数器中的,qc,是,2,位,qd=count_4(3);,计数器中的,qd,是,3,位,62,process(clk,clr) 进程,begin,if(clr=1) THEN,如果,clr=1,count_4=0000;,计数器清零,ELSIF(clk=1 AND clkEVENT) THEN,clk=1,上升沿动作,IF(en=1) THEN,如果使能端,en,=1,IF(count_4=1111) THEN,且,4,位计数器的状态是,1011,count_4=0000;,那么计数器返回初态,0000,ELSE,count_4= count_4+1;,否则计数器加,1,END IF;,END IF;,END IF;,END PROCESS;,END RTL;,63,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 大学资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!