计算机组成原理逻辑运算课件

上传人:沈*** 文档编号:241760978 上传时间:2024-07-21 格式:PPT 页数:113 大小:1.18MB
返回 下载 相关 举报
计算机组成原理逻辑运算课件_第1页
第1页 / 共113页
计算机组成原理逻辑运算课件_第2页
第2页 / 共113页
计算机组成原理逻辑运算课件_第3页
第3页 / 共113页
点击查看更多>>
资源描述
计算机算机组成原理成原理逻辑运算运算1.1.逻辑代数逻辑代数1.1 数字电路的基础知识数字电路的基础知识1.2 基本逻辑关系基本逻辑关系1.3 逻辑代数及运算规则逻辑代数及运算规则 1.4 逻辑函数的表示法逻辑函数的表示法1.5 逻辑函数的化简逻辑函数的化简21.1 数字电路的基础知识数字电路的基础知识数字信号和模拟信号数字信号和模拟信号电电子子电电路路中中的的信信号号模拟信号模拟信号数字信号数字信号时间连续的信号时间连续的信号时间和幅度都是离散的时间和幅度都是离散的例:正弦波信号、锯齿波信号等。例:正弦波信号、锯齿波信号等。例:产品数量的统计、数字表盘例:产品数量的统计、数字表盘的读数、数字电路信号等。的读数、数字电路信号等。3模拟信号模拟信号tV(t)tV(t)数字信号数字信号高电平高电平低电平低电平上跳沿上跳沿下跳沿下跳沿4模拟电路主要研究:模拟电路主要研究:输入、输出信号间的大小、输入、输出信号间的大小、相位、失真等方面的关系。主要采用电路分相位、失真等方面的关系。主要采用电路分析方法,动态性能用微变等效电路分析。析方法,动态性能用微变等效电路分析。在模拟电路中,晶体管一般工作在线性放大区;在模拟电路中,晶体管一般工作在线性放大区;在数字电路中,三极管工作在开关状态,即工在数字电路中,三极管工作在开关状态,即工作在饱和区和截止区。作在饱和区和截止区。数字电路主要研究:数字电路主要研究:电路输出、输入间的逻辑关系。电路输出、输入间的逻辑关系。主要的工具是逻辑代数,电路的功能用真值表、主要的工具是逻辑代数,电路的功能用真值表、逻辑表达式及波形图表示。逻辑表达式及波形图表示。模拟电路与数字电路比较模拟电路与数字电路比较1.电路的特点电路的特点2.研究的内容研究的内容5模拟电路研究的问题模拟电路研究的问题基本电路元件基本电路元件:基本模拟电路基本模拟电路:晶体三极管晶体三极管场效应管场效应管集成运算放大器集成运算放大器 信号放大及运算信号放大及运算(信号放大、功率放大)信号放大、功率放大)信号处理(采样保持、电压比较、有源滤波)信号处理(采样保持、电压比较、有源滤波)信号发生(正弦波发生器、三角波发生器、信号发生(正弦波发生器、三角波发生器、)6数字电路研究的问题数字电路研究的问题基本电路元件基本电路元件基本数字电路基本数字电路 逻辑门电路逻辑门电路 触发器触发器 组合逻辑电路组合逻辑电路 时序电路(寄存器、计数器、脉冲发生器、时序电路(寄存器、计数器、脉冲发生器、脉冲整形电路)脉冲整形电路)A/D转换器、转换器、D/A转换器转换器71.2 基本逻辑关系基本逻辑关系一、一、“与与”逻辑逻辑与逻辑:与逻辑:决定事件发生的各条件中,所有条件都决定事件发生的各条件中,所有条件都具备,事件才会发生(成立)具备,事件才会发生(成立)规定规定:开关合为逻辑开关合为逻辑“1”开关断为逻辑开关断为逻辑“0”灯亮为逻辑灯亮为逻辑“1”灯灭为逻辑灯灭为逻辑“0”EFAB逻辑逻辑:指事物的前因和后果所遵循的规律:指事物的前因和后果所遵循的规律逻辑状态逻辑状态:逻辑:逻辑“真真”为为“”,逻辑,逻辑“假假”为为“”基本逻辑关系基本逻辑关系:与:与 (and)或或(or)非非(not)8&ABF逻辑符号:逻辑符号:逻辑式:逻辑式:FA B逻辑乘法逻辑乘法逻辑与逻辑与真值表特点真值表特点:任任0 则则0,全全1则则1与逻辑运算规则:与逻辑运算规则:0 0=0 0 1=01 0=0 1 1=1EFAB真值表真值表AFB0001000101119二、二、“或或”逻辑逻辑AEFB或逻辑或逻辑:决定事件发生的各条件中,有一个或一个决定事件发生的各条件中,有一个或一个以上的条件具备,事件就会发生(成立)以上的条件具备,事件就会发生(成立)规定规定:开关合为逻辑开关合为逻辑“1”开关断为逻辑开关断为逻辑“0”灯亮为逻辑灯亮为逻辑“1”灯灭为逻辑灯灭为逻辑“0”10 1ABF逻辑符号:逻辑符号:逻辑式:逻辑式:FAB逻辑加法逻辑加法逻辑或逻辑或真值表特点:真值表特点:任任1 则则1,全全0则则0。或逻辑运算规则或逻辑运算规则:0+0=0 0+1=11+0=1 1+1=1AEFB真值表真值表AFB00010101111111三、三、“非非”逻辑逻辑“非非”逻辑:逻辑:决定事件发生的条件只有一个,条件不决定事件发生的条件只有一个,条件不具备时事件发生(成立),条件具备时具备时事件发生(成立),条件具备时事件不发生。事件不发生。规定规定:开关合为逻辑开关合为逻辑“1”开关断为逻辑开关断为逻辑“0”灯亮为逻辑灯亮为逻辑“1”灯灭为逻辑灯灭为逻辑“0”AEFR12逻辑符号:逻辑符号:逻辑非逻辑非逻辑反逻辑反AF0110真值表真值表AEFR真值表特点真值表特点:1则则0,0则则1。逻辑式:逻辑式:运算规则:运算规则:AF113四、几种常用的逻辑关系逻辑四、几种常用的逻辑关系逻辑“与与”、“或或”、“非非”是三种基本的逻辑关系,是三种基本的逻辑关系,任何其它的逻辑关系都可以以它们为基础表示。任何其它的逻辑关系都可以以它们为基础表示。与非:与非:条件条件A、B都具备,都具备,则则F 不发生不发生&ABF其他几种常用的逻辑关系如下表:其他几种常用的逻辑关系如下表:14或或非非:条条件件A、B任任一一具具备备,则则F 不发生不发生 1ABF异异或或:条条件件A、B有有一一个个具具备备,另另一一个个不不具备则具备则F 发生发生=1ABF同同或或:条条件件A、B相相同同,则则F 发生发生=1ABF15基本逻辑关系小结&ABFABF11FA&ABFABF1=1ABF表示式表示式F=ABF=A+BF=A BABFABF国标国标惯用惯用国外国外与与或或非非与非与非或非或非异或异或逻辑逻辑符号符号ABFABF AFAFAABFBFABF ABFABFABF 16与或非门与或非门+ABCDFABCDF&1&171.3 逻辑代数及运算规则逻辑代数及运算规则数字电路要研究的是电路的输入输出之间的数字电路要研究的是电路的输入输出之间的逻辑关系,所以数字电路又称逻辑关系,所以数字电路又称逻辑电路逻辑电路,相应的,相应的研究工具是研究工具是逻辑代数(布尔代数)逻辑代数(布尔代数)。在在逻逻辑辑代代数数中中,逻逻辑辑函函数数的的变变量量只只能能取取两两个个值(值(二值变量二值变量),即),即0和和1,中间值没有意义。,中间值没有意义。0和和1表示两个对立的逻辑状态,不是数值表示两个对立的逻辑状态,不是数值0和和1v逻辑变量逻辑变量具有逻辑属性的变量具有逻辑属性的变量v逻逻辑辑表表达达式式也也可可称称作作逻逻辑辑函函数数,描描述述逻逻辑辑自自变量和逻辑因变量之间的逻辑关系变量和逻辑因变量之间的逻辑关系181.3.1 逻辑代数的基本运算规则逻辑代数的基本运算规则加运算规则加运算规则:0+0=0 ,0+1=1,1+0=1,1+1=1乘运算规则乘运算规则:00=0 01=0 10=0 11=1非运算规则非运算规则:191.3.2 逻辑代数的运算规律逻辑代数的运算规律一、交换律一、交换律二、结合律二、结合律三、分配律三、分配律A+B=B+AA B=B AA+(B+C)=(A+B)+C=(A+C)+BA(B C)=(A B)CA(B+C)=A B+A CA+B C=(A+B)(A+C)普通代数普通代数不适用不适用!20求证求证:(分配律第(分配律第2条)条)A+BC=(A+B)(A+C)证明证明:右边右边=(A+B)(A+C)=AA+AB+AC+BC ;分配律分配律=A+A(B+C)+BC ;结合律结合律,AA=A=A(1+B+C)+BC ;结合律结合律=A 1+BC ;1+B+C=1=A+BC ;A 1=1=左边左边21四、吸收规则四、吸收规则1.原变量原变量的吸收:的吸收:A+AB=A证明:证明:A+AB=A(1+B)=A1=A利用运算规则可以对逻辑式进行化简。利用运算规则可以对逻辑式进行化简。例如:例如:被吸收被吸收吸收是指吸收多余(吸收是指吸收多余(冗余冗余)项,多余()项,多余(冗冗余余)因子被取消、去掉)因子被取消、去掉 被消化了。被消化了。长中含短,长中含短,留下短。留下短。222.反变量反变量的吸收:的吸收:证明:证明:例如:例如:被吸收被吸收长中含反,长中含反,去掉反。去掉反。字母上面没有非运算符的叫做原变量字母上面没有非运算符的叫做原变量有非运算符的叫做反变量有非运算符的叫做反变量23五、反演定理五、反演定理可以用列真值表的方法证明:可以用列真值表的方法证明:德德 摩根摩根(De Morgan)定理:定理:24反演定理内容:反演定理内容:将函数式将函数式 F 中所有的中所有的 +变量与常数均取反变量与常数均取反(求反运算)(求反运算)互补运算互补运算1.运算顺序:先括号运算顺序:先括号 再乘法再乘法 后加法。后加法。2.不是一个变量上的反号不动。不是一个变量上的反号不动。注意注意:用处:用处:实现互补运算(求反运算)。实现互补运算(求反运算)。新表达式:新表达式:F显然:显然:(变换时,原函数运算的先后顺序不变变换时,原函数运算的先后顺序不变)(反函数,补函数反函数,补函数)25例题:例题:与或式与或式注意括号注意括号注意注意括号括号261.4 逻辑函数的表示法逻辑函数的表示法四四种种表表示示方方法法逻辑代数式逻辑代数式 (逻辑表示式逻辑表示式,逻辑函数式逻辑函数式)11&1ABY 逻辑电路图逻辑电路图:卡诺图卡诺图n个输入变量个输入变量 种组合种组合。真值表:真值表:将逻辑函数输入变量取值的不同组合将逻辑函数输入变量取值的不同组合与所对应的输出变量值用列表的方式与所对应的输出变量值用列表的方式一一对应列出的表格。一一对应列出的表格。27将输入、输出的所有可能状态一一对应地列将输入、输出的所有可能状态一一对应地列出。出。n个变量可以有个变量可以有2n个输入状态。个输入状态。1.4.1 真值表真值表列真值表的方法:列真值表的方法:一般按二进制的顺一般按二进制的顺序,输出与输入状序,输出与输入状态一一对应,列出态一一对应,列出所有可能的状态。所有可能的状态。281.4.2 逻辑函数式逻辑函数式逻辑代数式:逻辑代数式:把逻辑函数的输入、输出关把逻辑函数的输入、输出关系写成与、或、非等逻辑运算的组合系写成与、或、非等逻辑运算的组合式。也称为逻辑函数式,式。也称为逻辑函数式,通常采用通常采用“与与或或”的形式。的形式。例:例:与与普普通通代代数数不不同同的的是是,在在逻逻辑辑代代数数中中,不不管管是是变变量量还还是是函函数数,其其取取值值都都只只能能是是0或或1,并并且且这这里里的的0和和1只表示两种不同的状态,没有数量的含义。只表示两种不同的状态,没有数量的含义。29一一个个逻逻辑辑函函数数的的表表达达式式可可以以有有与与或或表表达达式式、或或与与表表达达式式、与与非非-与与非非表表达达式式、或或非非-或或非非表达式、与或非表达式表达式、与或非表达式5种表示形式种表示形式一一种种形形式式的的函函数数表表达达式式相相应应于于一一种种逻逻辑辑电电路路。尽尽管管一一个个逻逻辑辑函函数数表表达达式式的的各各种种表表示示形形式式不不同同,但但逻辑功能是相同的逻辑功能是相同的301.4.3 卡诺图卡诺图卡诺图的构成:卡诺图的构成:将将n个输入变量的全部最小项用个输入变量的全部最小项用小方块阵列图表示,并且将逻辑相邻的最小小方块阵列图表示,并且将逻辑相邻的最小项放在相邻的几何位置上,所得到的阵列图项放在相邻的几何位置上,所得到的阵列图就是就是n变量的卡诺图。变量的卡诺图。最小项:最小项:构成逻辑函数的基本单元。对应于输入变构成逻辑函数的基本单元。对应于输入变量的每一种组合。量的每一种组合。变量赋值为变量赋值为1时用该变量表示(时用该变量表示(原变量原变量)变量赋值为变量赋值为0时用该变量的反来表示(时用该变量的反来表示(反变量反变量)逻辑相邻:逻辑相邻:若两个最小项只有一个变量以原、反区若两个最小项只有一个变量以原、反区别,其他变量均相同,则称这两个最小项逻辑别,其他变量均相同,则称这两个最小项逻辑相邻相邻31最小项:最小项:输入变量的每一种组合。输入变量的每一种组合。A B Y 0 0 1 0 1 1 1 0 1 1 1 0AB01010111输出变量输出变量Y的值的值输入变量输入变量二输入变量卡诺图二输入变量卡诺图卡诺图的每一个方块(最小项)代表一种输入组卡诺图的每一个方块(最小项)代表一种输入组合,并且把对应的输入组合注明在阵列图的上方合,并且把对应的输入组合注明在阵列图的上方和左方。和左方。321.4.4 逻辑图逻辑图把相应的逻辑关系用逻辑符号和连线表示把相应的逻辑关系用逻辑符号和连线表示出来,就构成了逻辑图。出来,就构成了逻辑图。&AB&CD 1FF=AB+CD331.4.5 逻辑函数四种表示方式的相互转换逻辑函数四种表示方式的相互转换一、逻辑电路图一、逻辑电路图逻辑代数式逻辑代数式BABY=A B+ABA BA1&AB&1134 二、真值表二、真值表卡诺图卡诺图 A B Y 0 0 1 0 1 1 1 0 1 1 1 0二变量卡诺图二变量卡诺图真值表真值表AB1010111035三、真值表、卡诺图三、真值表、卡诺图逻辑代数式逻辑代数式方法:方法:将真值表或卡诺图中为将真值表或卡诺图中为1的的项相加,写成项相加,写成“与或式与或式”真值表真值表 A B Y 0 0 1 0 1 1 1 0 1 1 1 0AB01010111AB实际上这是与非门的真值表:实际上这是与非门的真值表:YAB故故此逻辑代数式并非是最简单的形式,需要此逻辑代数式并非是最简单的形式,需要化简化简ABAB361.5 逻辑函数的化简逻辑函数的化简最简与或式最简与或式乘积项的乘积项的项数最少。项数最少。每个乘积项中每个乘积项中变量个数最少。变量个数最少。逻辑函数化简的意义:逻辑表达式越简单,实现逻辑函数化简的意义:逻辑表达式越简单,实现它的电路越简单,电路工作越稳定可靠。它的电路越简单,电路工作越稳定可靠。利用逻辑代数的基本公式利用逻辑代数的基本公式逻逻辑辑函函数数的的公公式式化化简简法法就就是是运运用用逻逻辑辑代代数数的的基基本本公公式式、定理和规则来化简逻辑函数。定理和规则来化简逻辑函数。利用卡诺图化简利用卡诺图化简卡诺图适用于输入变量为卡诺图适用于输入变量为3、4个的逻辑代数式的个的逻辑代数式的化简;化简过程比公式法简单直观。化简;化简过程比公式法简单直观。37利用逻辑代数的基本公式利用逻辑代数的基本公式例例1:反变量吸收反变量吸收提出提出AB=1提出提出A38例例2:反演反演配项配项被吸收被吸收被吸收被吸收利利用用逻逻辑辑代代数数的的基基本本公公式式392.2.逻辑门电路逻辑门电路2.1 概述概述2.2 门电路的实现门电路的实现2.3 集成电路集成电路 2.4 OC门门2.5 三态门三态门402.1 概述概述门门电电路路:实实现现基基本本逻逻辑辑运运算算和和常常用用复复合合逻逻辑辑运运算算的的电子电路(逻辑器件)电子电路(逻辑器件)门门电电路路的的主主要要类类型型:与与门门、或或门门、与与非非门门、或或非非门门、异或门等。异或门等。门电路的输出状态与赋值对应关系:门电路的输出状态与赋值对应关系:正逻辑:正逻辑:高电位对应高电位对应“1”;低电位对应;低电位对应“0”。混合逻辑:混合逻辑:输入用正逻辑、输出用负逻辑;或者输输入用正逻辑、输出用负逻辑;或者输入用负逻辑、输出用正逻辑。入用负逻辑、输出用正逻辑。一般采用一般采用正逻辑正逻辑负逻辑:负逻辑:高电位对应高电位对应“0”;低电位对应;低电位对应“1”。41负逻辑门符号画法负逻辑门符号画法在在对对应应的的输输入入端端、输输出出端端上上加加一一个个小小圆圆圈圈O(表示相反的含义)加以区别(表示相反的含义)加以区别小小圆圆圈圈当当作作非非号号,一一条条线线两两端端同同时时加加上上或或消消去去小圆圈逻辑功能不变小圆圈逻辑功能不变一一条条线线上上小小圆圆圈圈从从一一端端可可移移到到另另一一端端,其其逻逻辑辑功能不变功能不变在在一一个个逻逻辑辑符符号号的的输输入入端端和和输输出出端端同同时时加加上上(或或去去掉掉)小小圆圆圈圈,并并将将加加小小圆圆圈圈的的门门如如是是与与门门改改为为或或门门,如如是是或或门门改改为为与与门门,其其逻逻辑辑功功能能不变不变42门门(电子开关电子开关)满足一定条件时,电路允满足一定条件时,电路允 许信号通过许信号通过 开关接通开关接通。开门状态:开门状态:关门状态:关门状态:条件不满足时,信号通不条件不满足时,信号通不过过 开关断开开关断开。43100VVcc在在数数字字电电路路中中,对对电电压压值值为为多多少少并并不不重重要要,只要能判断高低电平即可。只要能判断高低电平即可。K开开-VO输出高电平,对应输出高电平,对应“1”K合合-VO输出低电平,对应输出低电平,对应“0”VOKVccR V V44开关开关作用作用二极管二极管反向截止:反向截止:开关接通开关接通开关断开开关断开三极管三极管(C,E)饱和区:饱和区:截止区:截止区:开关接通开关接通CEB开关断开开关断开 正向导通:正向导通:CEB452.2 门电路的实现门电路的实现1.分立元件门电路分立元件门电路体积大、工作不可靠体积大、工作不可靠需要不同电源需要不同电源各种门的输入、输出电平不匹配各种门的输入、输出电平不匹配2.集成电路集成电路TTL型门电路型门电路输输入入和和输输出出端端结结构构都都采采用用半半导导体体晶晶体体管管(TTL:Transistor-Transistor Logic)优优点点是是开开关关速速度度较较高高,抗抗干干扰扰能能力力较较强强,带带负负载载的的能力也比较强,缺点是功耗较大能力也比较强,缺点是功耗较大缺点缺点46金属金属-氧化物氧化物-半导体场效应管半导体场效应管MOS(Metel-Oxide-Semiconductor Field Effect Transister)缺点:缺点:工作速度比工作速度比TTL低低 2.电压控制元件,静态功耗小电压控制元件,静态功耗小3.允许电源电压范围宽(允许电源电压范围宽(3 18V)4.扇出系数大,抗噪声容限大扇出系数大,抗噪声容限大优点优点1.工艺简单,集成度高工艺简单,集成度高扇出系数:扇出系数:与非门电路输出能够驱动同类门的个数与非门电路输出能够驱动同类门的个数3.集成电路集成电路MOS型门电路型门电路47在在一一块块半半导导体体基基片片上上制制作作出出一一个个完完整整的的逻逻辑辑电电路路所所需需要要的的全全部部元元件件和和连连线线。使使用用时时接接:电电源源、输输入入和和输输出出。数数字字集集成成电电路路具具有有体体积积小小、可可靠靠性性高高、速度快、而且价格便宜的特点。速度快、而且价格便宜的特点。逻辑门数逻辑门数10个以下:个以下:小规模小规模集成电路集成电路(Small Scale Integration:SSI)逻辑门数逻辑门数1099个:个:中规模中规模集成电路集成电路(Medium Scale Integration:MSI)逻辑门数逻辑门数1009999个:个:大规模大规模集成电路集成电路(Large Scale Integration:LSI)逻辑门数逻辑门数10000个以上:个以上:超大规模超大规模集成电路集成电路(Very Large Scale Integration:VLSI)2.3 集成电路集成电路IC48集成电路的类型 二极管二极管-晶体三极管逻辑门(晶体三极管逻辑门(DTL)集集 晶体三极管晶体三极管-晶体三极管逻辑门晶体三极管逻辑门(TTL)成成 双极型双极型 射极耦合逻辑门射极耦合逻辑门(ECL)逻逻 集成注入逻辑门电路集成注入逻辑门电路()辑辑 N沟道沟道MOS门门(NMOS)门门 单极型单极型(MOS型型)P 沟道沟道MOS门门 (PMOS)互补互补MOS门门(CMOS)49TTL门电路及改进74系列74xx普通标准普通标准TTL74LSxx 低功耗肖特基低功耗肖特基TTL74Sxx肖特基肖特基TTL(抗饱和抗饱和)74ALSxx先进低功耗肖特基先进低功耗肖特基TTL74ASxx先进肖特基先进肖特基TTL74Fxx高速高速TTL 5074LS004个个2输入与非门输入与非门74LS202个个4输入与非门输入与非门5174LS04 6个反相器个反相器5274LS02 4个个2输入或非门输入或非门53&ABEF&CD&G1&ABEF&CDG 能否能否“线与线与”?答案:答案:TTL与非门不允许直接线与与非门不允许直接线与集电极开路的逻辑门(集电极开路的逻辑门(Open Collector)2.4 OC门门问题:问题:TTL与非门能否直接线与?与非门能否直接线与?54OC门可以实现门可以实现“线与线与”功能。功能。&UCCF1F2F3FRLF=F1F2F3&符号符号输出端要接上拉负载电阻输出端要接上拉负载电阻 RL RL 和和UCC 可以外接可以外接ABF 国标国标ABF惯用惯用55OC门的应用门的应用实现实现“线与线与”逻辑功能逻辑功能实现电平转换实现电平转换例例如如,把把输输出出高高电电平平转转换换为为10V时时,可可将将外外接的上拉电阻接到接的上拉电阻接到10V电源上电源上用做驱动器用做驱动器用用OC门门来来驱驱动动指指示示灯灯,继继电电器器和和脉脉冲冲变变压压器等器等实现总线传输实现总线传输56正常输出端有两种状态正常输出端有两种状态u高电平状态高电平状态u低电平状态低电平状态 三态门具有第三种状态三态门具有第三种状态u高阻状态(悬空状态、禁止状态)高阻状态(悬空状态、禁止状态)u输出阻抗很高(相当于与其他电路无关)输出阻抗很高(相当于与其他电路无关)u不是高电平,也不是低电平不是高电平,也不是低电平u具有一个控制端具有一个控制端u控制端无效,输出高阻状态控制端无效,输出高阻状态2.5 三态门(三态门(TS门)门)57&ABF符号符号功能表功能表三态门的符号及功能表三态门的符号及功能表&ABF符号符号功能表功能表使能端使能端高电平高电平起作用起作用使能端使能端低电平低电平起作用起作用58E1E2E3公公用用总总线线三态门主要作为三态门主要作为TTL电路与电路与总线总线间的间的接口电路接口电路。三态门的用途三态门的用途工作时,工作时,E1、E2、E3分时分时接入高电平接入高电平59 三态门三态门(两输入与非两输入与非)AB&AB&AB国标国标国外国外AFEAFAFEAF三态缓冲器三态缓冲器反相器反相器高电平有效高电平有效反相器反相器低电平有效低电平有效同相器同相器低电平有效低电平有效同相器同相器高电平有效高电平有效603.3.组合逻辑电路组合逻辑电路3.1 概述概述3.2 编码器编码器3.3 译码器译码器 3.4 加法器加法器3.5 数据选择器数据选择器613.1 概述概述逻逻辑辑电电路路组合组合逻辑电路逻辑电路时序时序逻辑电路逻辑电路功能:功能:输出只取决于输出只取决于 当前的输入。当前的输入。组成:组成:门电路,不存在门电路,不存在记忆元件。记忆元件。功能:功能:输出取决于当输出取决于当前的输入和原前的输入和原来的状态。来的状态。组成:组成:组合电路、记组合电路、记忆元件。忆元件。62组合电路的研究内容:组合电路的研究内容:分析:分析:设计:设计:给定给定 逻辑图逻辑图得到得到逻辑功能逻辑功能分析分析 给定给定逻辑功能逻辑功能画出画出 逻辑图逻辑图设计设计633.2 编码器编码器所谓所谓编码编码就是赋予选定的一系列二进制代码以就是赋予选定的一系列二进制代码以固定的含义。固定的含义。n个二进制代码(个二进制代码(n位二进制数)有位二进制数)有2n种种不同的组合,可以表示不同的组合,可以表示2n个信号。个信号。一、二进制编码器一、二进制编码器二进制编码器的作用:二进制编码器的作用:将一系列信号状态编制成将一系列信号状态编制成二进制代码。二进制代码。64例:例:用与非门组成三位二进制编码器。用与非门组成三位二进制编码器。-八线八线-三线编码器三线编码器设八个输入端为设八个输入端为I1 I8,八种状态,八种状态,与之对应的输出设为与之对应的输出设为F1、F2、F3,共三,共三位二进制数。位二进制数。设计编码器的过程与设计一般的组合设计编码器的过程与设计一般的组合逻辑电路相同,首先要列出状态表(即真逻辑电路相同,首先要列出状态表(即真值表),然后写出逻辑表达式并进行化简,值表),然后写出逻辑表达式并进行化简,最后画出逻辑图最后画出逻辑图。65真值表真值表66I1I2I3I4I5I6I7I8&F3F2F18-3 编码器逻辑图编码器逻辑图67译码是编码的逆过程,即将某二进制翻译成电译码是编码的逆过程,即将某二进制翻译成电路的某种状态。路的某种状态。一、二进制译码器一、二进制译码器二进制译码器的作用:二进制译码器的作用:将将n种输入的组合译成种输入的组合译成2n种电路状态。也叫种电路状态。也叫n-2n线译码器。线译码器。译码器的输入译码器的输入 一组二进制代码一组二进制代码译码器的输出译码器的输出一组高低电平信号一组高低电平信号3.3 译码器译码器68&A1A02-4线译码器线译码器74LS139的内部线路的内部线路输入输入控制端控制端输出输出6974LS139的功能表的功能表“”表示低电平有效。表示低电平有效。7074LS139管脚图管脚图一片一片139种含两个种含两个2-4译码器译码器71例:例:利用线译码器分时将采样数据送入计算机。利用线译码器分时将采样数据送入计算机。2-4线译线译码器码器ABCD三态门三态门三态门三态门三态门三态门三态门三态门总总线线722-4线译线译码器码器ABCD三态门三态门三态门三态门三态门三态门三态门三态门总总线线000全为全为1工作原理工作原理:(以:(以A0A1=00为例)为例)数数据据脱离总线脱离总线733位二进制译码器位二进制译码器真值表真值表输输入入:3位二进制代码位二进制代码输输出出:8个互斥的信号个互斥的信号74逻辑表达式逻辑表达式逻辑图逻辑图电路特点电路特点:与门组成的阵列:与门组成的阵列75集成二进制译码器集成二进制译码器74LS138A2、A1、A0为二进制译码输入端,为译码输出端(低电平有效),G1、为选通控制端。当G11、时,译码器处于工作状态;当G10、时,译码器处于禁止状态。76真值表真值表输输入入:自然二进制码:自然二进制码输输出出:低电平有效:低电平有效771 1 0 11 0 0 1+举例:举例:A=1101,B=1001,计算计算A+B。011010011加法运算的基本规则加法运算的基本规则:(1)逢二进一。逢二进一。(2)最低位是两个数最低位的叠加,不需考虑进位。最低位是两个数最低位的叠加,不需考虑进位。(3)其余各位都是三个数相加,包括被加数、加数其余各位都是三个数相加,包括被加数、加数和低位来的进位。和低位来的进位。(4)任何位相加都产生两个结果:本位和、向高位任何位相加都产生两个结果:本位和、向高位的进位。的进位。用半加器实现用半加器实现用全加器实现用全加器实现3.4 加法器加法器78一、半加器一、半加器半加运算不考虑从低位来的进位半加运算不考虑从低位来的进位设:设:A-加数;加数;B-被加数;被加数;S-本位和;本位和;C-进位进位真值表真值表79逻辑图逻辑图半加器半加器(HA)AiBiCiSi逻辑符号逻辑符号=1&ABSC惯用符号惯用符号AiBiSiCi+1国标符号国标符号CO80二、全加器:二、全加器:输入:输入:Ai-加数;加数;Bi-被加数;被加数;Ci-低位的进位低位的进位输出:输出:Si-本位和;本位和;Ci+1-进位进位真值表真值表逻辑符号逻辑符号全加器全加器(FA)(FA)A Ai iB Bi iC Ci iS Si iC Ci+1i+1惯用符号惯用符号A Ai iB Bi iC Ci iS Si iC Ci+1i+1CICO国标符号国标符号81从一组数据中选择一路信号进行传输的电路,从一组数据中选择一路信号进行传输的电路,称为称为数据选择器数据选择器,又叫多路开关,简称,又叫多路开关,简称MUX(Multiplexer)控制信号控制信号输输入入信信号号输输出出信信号号数据选择器类似一个多投开关。选择哪一路信数据选择器类似一个多投开关。选择哪一路信号由相应的一组控制信号控制。号由相应的一组控制信号控制。A0A1D3D2D1D0W3.5 数据选择器数据选择器82一位数据选择器:一位数据选择器:从从n个一位数据中选择一个数据。个一位数据中选择一个数据。m位数据选择器:位数据选择器:从从n个个m位数据中选择一个数据。位数据中选择一个数据。W3X3Y3W3X2Y2W3X1Y1W3X0Y0A控制信号控制信号四四二二选选一一选选择择器器n=2,m=483四选一集成数据选择器四选一集成数据选择器74LS153功能表功能表控制端控制端:为为 或或 ,低电平有效。,低电平有效。选择端选择端A1 A0:为两个为两个4选选1数据选择器共用。数据选择器共用。其中其中844.4.时序逻辑电路时序逻辑电路4.1 概述概述4.2 基本基本 RS 触发器触发器4.3 常用触发器常用触发器 4.4 寄存器和计数器寄存器和计数器4.5 PLD简介简介85时序电路的特点:时序电路的特点:具有记忆功能。具有记忆功能。在在数数字字电电路路中中,凡凡是是任任一一时时刻刻的的稳稳定定输输出出不不仅仅决决定定于于该该时时刻刻的的输输入入,而而且且还还和和电电路路原原来来的的状状态态有有关关者者,都都叫叫做做时时序序逻逻辑辑电电路路,简称简称时序电路时序电路。组合逻辑电路组合逻辑电路存储功能存储功能.XYZW4.1 概述概述时序电路的基本单元:时序电路的基本单元:触发器。触发器。86触发器的功能:触发器的功能:形象地说,形象地说,它具有它具有“一触即发一触即发”的功的功能。在输入信号的作用下,它能够从一种状态能。在输入信号的作用下,它能够从一种状态(0或或1)转变成另一种状态转变成另一种状态(1或或0)。触发器的特点:触发器的特点:有记忆功能的逻辑部件。输出状态有记忆功能的逻辑部件。输出状态不只与现时的输入有关,还与原来的输出状态不只与现时的输入有关,还与原来的输出状态有关。有关。触发器的分类:触发器的分类:按功能分:按功能分:有有R-S触发器、触发器、D型触发器、型触发器、JK触发器、触发器、T型等;型等;按触发方式划分:按触发方式划分:有电平触发方式、主从有电平触发方式、主从触发方式和边沿触发方式触发方式和边沿触发方式。87两个输入端两个输入端4.2 基本基本 RS 触发器触发器&a&b反馈反馈两个输出端两个输出端反馈反馈正是由于正是由于引入反馈,引入反馈,才使电路具有才使电路具有记忆功能记忆功能!88输入输入RD=0,SD=1时时若原状态:若原状态:11001010输出仍保持:输出仍保持:&a&b若原状态:若原状态:01111010输出变为:输出变为:置置“0”!&a&b89输入输入RD=1,SD=0时时若原状态:若原状态:10101001输出变为:输出变为:&a&b若原状态:若原状态:00110101输出保持:输出保持:&a&b置置“1”!90输入输入RD=1,SD=1时时若原状态:若原状态:10111001输出保持原状态:输出保持原状态:若原状态:若原状态:01110110输出保持原状态:输出保持原状态:&a&b&a&b保持!保持!91输入输入RD=0,SD=0时时0011输出:全是输出:全是1注意:注意:当当RD、SD同时由同时由0变变为为1时,翻转快的门输出变为时,翻转快的门输出变为0,另一个不得翻转。因此,另一个不得翻转。因此,该状态为不定状态。该状态为不定状态。&a&b基本触发器的功能表基本触发器的功能表RD SD Q1 10 11 00 0保持原状态保持原状态0 11 0不定状态不定状态复位端复位端置位端置位端逻辑符号逻辑符号921.触触发发器器是是双双稳稳态态器器件件,只只要要令令RD=SD=1,触触发发器器即即保保持持原原态态。稳稳态态情情况况下下,两两输输出出互补。一般定义互补。一般定义Q为触发器的状态。为触发器的状态。2.在在控控制制端端加加入入负负脉脉冲冲,可可以以使使触触发发器器状状态态变变化化。SD端端加加入入负负脉脉冲冲,使使Q1,SD称称为为“置置位位”或或“置置1”端端。RD端端加加入入负负脉脉冲冲,使使Q0,RD称称为为“复位复位”或或“清清0”端。端。小结小结93同步同步RS触发器触发器QQRDSDabRDSDcdRSCP“同同步步”的的含含义义:由由时时钟钟CP决决定定R、S能能否否对对输输出出端端起控制作用。起控制作用。直接清零端直接清零端直接置位端直接置位端输出端输出端输入端输入端RDSDRSCQ94QQRDSDabRDSDcdRSCP直接清零端直接清零端直接置位端直接置位端直接清零端、置位端的处理:直接清零端、置位端的处理:平时常平时常为为 1平时常平时常为为 195红红色色线线无无圆圆圈圈表表示示:“高高电电平平有有效效”,即即“只只有有在在时时钟钟 CP1 时时,它它才才表表现现出出应应有有的的逻逻辑辑功功能能;如如果果CP0,输输出出端端 Q 则则保保持持原状态原状态”QQRDSDRSC逻辑逻辑符号符号逻辑逻辑符号符号绿绿色色线线有有一一个个圆圆圈圈,表表示示:“低低电电平平有有效效”,即即“只只有有在在时时钟钟 CP0 时时,它它才才表表现现出出应应有有的的逻逻辑辑功功能能;如如果果CP1,输输出出端端 Q 则则保保持原状态持原状态”QQRDSDRSC96R S 触发器的电路结构演变过程触发器的电路结构演变过程由两个与非门构成基由两个与非门构成基本本RS触发器触发器由四个与非门构成同由四个与非门构成同步步RS触发器触发器由九个与非门构成主由九个与非门构成主从从RS触发器触发器公共结构公共结构让其接受让其接受时钟控制时钟控制克服克服空翻空翻974.3 常用触发器常用触发器功能表功能表CPDQD触发器的输出波形触发器的输出波形逻辑符号逻辑符号RDSDD CQ结论:结论:Qn+1=D1.D触发器(触发器(D锁存器)锁存器)98触发器的触发方式触发器的触发方式触发方式?触发方式?研究翻转时刻与研究翻转时刻与时钟脉冲间的关系时钟脉冲间的关系电位触发方式电位触发方式电位触电位触发发正电位触发正电位触发负电位触发负电位触发CP=1 期间翻转期间翻转CP=0 期间翻转期间翻转99边沿触发方式边沿触发方式为为了了免免除除CP=1期期间间输输入入控控制制电电平平不不许许改改变变的的限限制制,可可采采用用边边沿沿触触发发方方式式。其其特特点点是是:触触发发器器只只在在时时钟钟跳跳转转时时发发生生翻翻转转,而而在在CP1或或CP0期间,输入端的任何变化都不影响输出期间,输入端的任何变化都不影响输出如果翻转发生在上升沿就叫如果翻转发生在上升沿就叫“上升沿触发上升沿触发”或或“正边沿触发正边沿触发”。如果翻转发生在下降沿就叫。如果翻转发生在下降沿就叫“下降沿触发下降沿触发”或或“负边缘触发负边缘触发”100边沿触发的边沿触发的D触发器功能表触发器功能表正沿触发正沿触发触发方式在逻辑符号中的表示:触发方式在逻辑符号中的表示:CQ负沿负沿触发触发CQ正沿正沿触发触发DD101功能表功能表JK触发器的功能小结:触发器的功能小结:1.当当J=0、K=0时,具有保持功能;时,具有保持功能;2.当当J=1、K=1时,具有翻转功能;时,具有翻转功能;3.当当J=0、K=1时,具有复位功能;时,具有复位功能;4.当当J=1、K=0时,具有置位功能。时,具有置位功能。逻辑符号逻辑符号RDSDCQKJ2.JK触发器触发器1024.4 寄存器寄存器 1 数码寄存器数码寄存器Q3Q2Q1Q0&QQDQQDQQDQQDA0A1A2A3CLR取数取数脉冲脉冲接收接收脉冲脉冲(CP)寄存器是计算机的主要部件之一,它用来寄存器是计算机的主要部件之一,它用来暂时存放数据或指令。暂时存放数据或指令。四位数码寄存器四位数码寄存器1031 2 3 45 6 7109814 13 12 111516171819201Q 1D 2D 2Q 3Q 3D 4D 4Q GND输出输出控制控制时钟时钟VCC5D6D7D8D5Q6Q7Q8Q7 4 L S 3 7 4低电平低电平有效有效正边沿正边沿触发触发八八D寄存器寄存器:三态输出:三态输出共输出控制共输出控制共时钟共时钟1042 移位寄存器移位寄存器 所谓所谓“移位移位”,就是将寄存器所存各位数据,就是将寄存器所存各位数据,在每个移位脉冲的作用下,向左或向右移动一位。在每个移位脉冲的作用下,向左或向右移动一位。根据移位方向根据移位方向,常把它分成三种:,常把它分成三种:寄存器寄存器左移左移(a)寄存器寄存器右移右移(b)寄存器寄存器双向双向移位移位(c)1053 计数器计数器计数器的作用计数器的作用记忆输入脉冲的个数;用于定时、分频、产记忆输入脉冲的个数;用于定时、分频、产生节拍脉冲及进行数字运算等等。生节拍脉冲及进行数字运算等等。计数器的分类计数器的分类按工作方式分:按工作方式分:同步计数器和异步计数器同步计数器和异步计数器按功能分:按功能分:加法计数器、减法计数器和可逆计数器加法计数器、减法计数器和可逆计数器按计数器的计数容量按计数器的计数容量(或称模数或称模数)来分:来分:各种不同的计数器,如二进制计数器、十进制计数各种不同的计数器,如二进制计数器、十进制计数器、二十进制计数器等等器、二十进制计数器等等106PLD的基本结构的基本结构PLD的的主主体体是是由由与与门门和和或或门门构构成成的的与与阵阵列列和和或或阵阵列列,因此,可利用因此,可利用PLD来实现任何组合逻辑函数。来实现任何组合逻辑函数。GAL还可用于实现时序逻辑电路。还可用于实现时序逻辑电路。4.5 PLD简介简介可编程逻辑器件(可编程逻辑器件(Programmable Logic Device)107PROM(可编程可编程ROM)与门阵列固定,或门阵列可编程与门阵列固定,或门阵列可编程PLA(可编程逻辑阵列可编程逻辑阵列)与与门门阵列和或阵列和或门门阵列都可编程阵列都可编程PAL(可编程阵列逻辑可编程阵列逻辑)与与门门阵列可编程,或阵列可编程,或门门阵列固定,有多种输出和反馈结构阵列固定,有多种输出和反馈结构GAL(通用阵列逻辑通用阵列逻辑)在在PAL和和PLA基础上,具有可擦除、可重新编程的能力基础上,具有可擦除、可重新编程的能力ISP(在系统可编程在系统可编程)器件器件可可以以在在用用户户自自己己设设计计的的目目标标系系统统上上,为为实实现现预预定定逻逻辑辑功功能能而对逻辑器件进行编程或改写而对逻辑器件进行编程或改写PLD的类型的类型108大规模集成电路分类大规模集成电路分类非用户定制电路,即通用集成电路非用户定制电路,即通用集成电路全用户定制电路,即专用集成电路全用户定制电路,即专用集成电路ASIC(Application Specific Integrated Circuit)为了满足各种特殊应用要求而生产的集成电路为了满足各种特殊应用要求而生产的集成电路半用户定制电路半用户定制电路(通常归为(通常归为ASIC的一个分支)的一个分支)厂家生产标准的半成品(具有通用性),再由用户根据厂家生产标准的半成品(具有通用性),再由用户根据要求进行适当处理(实现特定功能)要求进行适当处理(实现特定功能)可编程逻辑器件可编程逻辑器件PLD(PLD:Programmable Logic Device)是广泛应用的半用户定制电路)是广泛应用的半用户定制电路109练习题练习题1.逻逻辑辑代代数数有有哪哪三三种种基基本本运运算算?其其运运算算规规则是什么?则是什么?2.何何谓谓“异异或或”门门,写写出出其其逻逻辑辑表表达达式式、画画出其电路符号、列出其真值表。出其电路符号、列出其真值表。3.化简下列逻辑函数化简下列逻辑函数 4.说说明明上上升升沿沿触触发发的的D触触发发器器的的功功能能,画画出其电路符号出其电路符号110郑州大学软件学院郑州大学软件学院 计算机组织与结构计算机组织与结构 2006 2006级级111第2章教学要求-3l掌握数字信号的特点掌握数字信号的特点l掌掌握握逻逻辑辑与与、或或、非非的的逻逻辑辑关关系系,以以及及它它们们的的逻逻辑辑表表达式、真值表、逻辑符号、运算规则达式、真值表、逻辑符号、运算规则l熟熟悉悉与与非非、或或非非、异异或或的的逻逻辑辑规规律律、表表达达式式和和逻逻辑辑符符号号l理理解解逻逻辑辑变变量量、逻逻辑辑电电路路(数数字字电电路路)、逻逻辑辑代代数数(布尔代数)的概念(布尔代数)的概念l掌掌握握逻逻辑辑代代数数的的基基本本运运算算规规则则和和运运算算规规律律(定定律律):交换律、结合律、分配律、反演定理交换律、结合律、分配律、反演定理l熟熟悉悉用用真真值值表表、逻逻辑辑表表达达式式、逻逻辑辑电电路路图图表表达达逻逻辑辑函函数的方法,了解卡诺图的作用数的方法,了解卡诺图的作用l掌握简单的逻辑化简方法(最简与或式)掌握简单的逻辑化简方法(最简与或式)郑州大学软件学院郑州大学软件学院 计算机组织与结构计算机组织与结构 2006 2006级级112第2章教学要求-4l理理解解门门电电路路、正正逻逻辑辑和和负负逻逻辑辑的的概概念念,掌掌握握三三态态门门的的特点、用途和电路符号特点、用途和电路符号l区别组合逻辑电路和时序逻辑电路区别组合逻辑电路和时序逻辑电路l掌掌握握编编码码器器、译译码码器器、加加法法器器、数数据据选选择择器器(多多路路开开关)的作用关)的作用l熟悉触发器的特点、基本熟悉触发器的特点、基本RSRS触发器的功能和逻辑符号触发器的功能和逻辑符号l理解同步时钟、高电平有效、低电平有效的含义理解同步时钟、高电平有效、低电平有效的含义l掌掌握握D D触触发发器器的的功功能能和和逻逻辑辑符符号号,熟熟悉悉电电平平触触发发和和边边沿触发的区别沿触发的区别l了解数码寄存器、移位寄存器、计数器、了解数码寄存器、移位寄存器、计数器、PLDPLD的作用的作用谢谢观赏谢谢观赏
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!