第7章-基于ARM内核的微处理器芯片简介课件

上传人:无*** 文档编号:241647217 上传时间:2024-07-12 格式:PPT 页数:36 大小:2.12MB
返回 下载 相关 举报
第7章-基于ARM内核的微处理器芯片简介课件_第1页
第1页 / 共36页
第7章-基于ARM内核的微处理器芯片简介课件_第2页
第2页 / 共36页
第7章-基于ARM内核的微处理器芯片简介课件_第3页
第3页 / 共36页
点击查看更多>>
资源描述
微处理器系统结构微处理器系统结构及嵌入式系统设计及嵌入式系统设计无线通信与嵌入式系统实验室无线通信与嵌入式系统实验室阎阎 波波1 1第第7 7章章基于基于ARMARM的的嵌入式系统硬件设计技术嵌入式系统硬件设计技术1ARM芯片芯片结构及构及选型型2ARM最小硬件系最小硬件系统的的设计ARM微微处理器、理器、电源、源、时钟、复位、存、复位、存储器、器、调试接口接口3基于基于S3C2440A的外的外设接口及接口及驱动设计示例示例1.LED接口及驱动设计接口及驱动设计2.其它实例其它实例2/522 2 第第7 7章习题章习题7.3、7.5*3/523 3第第7 7章章结结 束束*4/524 4On chipRAMARM based SystemARMProcessor coreAMBA AHB ExternalMemory InterfaceAPB BridgeAMBA APBInterruptControllerARMPrimecell PeripheralsGPIODMAPortClocks and Reset ControllernARM core deeply embedded within a SoCuExternal debug via JTAG portnDesign has both external and internal memoriesuOf varying width,speed and sizenIncludes an interrupt controlleruCore only support two interruptsnIncludes Primecell peripheralsuLicensed from ARMnElements connected using AMBA(Advanced Microcontroller Bus Architecture)DEBUGnIRQnFIQFLASHSDRAMARM based SoC5 5High PerformanceARM processorHigh-bandwidthon-chip RAMHighBandwidthExternalMemoryInterfaceDMABus MasterAPBBridgeTimerKeypadUARTPIOAHBAPBHigh PerformancePipelinedBurst SupportMultiple Bus MastersLow PowerNon-pipelinedSimple InterfaceAn Example AMBA System6 6ARM Debug System ComponentsARM CoreEICE-RTJTAGPortTracePortETBETMMemoryTAPControlAddressDataABORTBKPTSystem on Chip7 7ARM9微处理器芯片微处理器芯片 ARM ARM微微处理器有十几种内核理器有十几种内核结构,构,还有多种多有多种多样的内部功能配置的内部功能配置组合,所以在合,所以在设计一一个系个系统时选择最合适的最合适的ARMARM芯片是非常重要的。芯片是非常重要的。一些主流的一些主流的ARM9ARM9处理器芯片如:理器芯片如:u飞思卡思卡尔:MC9328MX21MC9328MX21uAtmelAtmel公司:公司:AT91SAM9263 AT91SAM9263 u三星:三星:S3C2440A S3C2440A u意法半意法半导体:体:STR91x STR91x u恩智浦半恩智浦半导体:体:LPC2000 LPC2000 系列系列8 87.2.1 飞思卡尔公司的飞思卡尔公司的ARM微处理器芯片微处理器芯片n美国美国飞思卡思卡尔公司采用公司采用ARMARM内核的微内核的微处理器命名理器命名为i.MXi.MX系列,系列,该系系列主要包含以下子系列:列主要包含以下子系列:i.MXSi.MXS,i.MXLi.MXL,i.MX1i.MX1,i.MX21i.MX21,i.MX27i.MX27,i.MX31i.MX31,i.MX35i.MX35,i.MX37i.MX37、.MX51.MX51n该系列微系列微处理器主要理器主要应用于智能手机、便携式多媒体播放器、便用于智能手机、便携式多媒体播放器、便携式携式导航航设备、视频监控、控、销售售终端机系端机系统和条和条码扫描描仪等消等消费类、工、工业、健康等、健康等领域的域的产品。品。n除除i.MXi.MX系列微系列微处理器以外,理器以外,飞思卡思卡尔公司公司还有少数其他有少数其他产品也采品也采用了用了ARMARM内核,例如适用于便携式多媒体播放器和内核,例如适用于便携式多媒体播放器和导航航设备的的STMP37XXSTMP37XX系列系列产品。品。9 9飞思卡尔公司的飞思卡尔公司的MC9328MX21MC9328MX21n采用采用32.768 KHz32.768 KHz的的实时时钟,最,最大内核工作大内核工作时钟可达可达266 MHz266 MHzn内核采用内核采用1.5 V1.5 V供供电,外,外围及及I/OI/O接口采用接口采用3.3 V3.3 V供供电,使用,使用289289脚脚的的PBGAPBGA封装封装n集成了集成了NAND FlashNAND Flash控制器接口,控制器接口,可以可以选用廉价的用廉价的NAND FlashNAND Flash器件器件作作为系系统的非易失存的非易失存储器器n集成了集成了MPEG4MPEG4编解解码器、器、LCDLCD控制控制器、器、AC97AC97主控制器、主控制器、USB OTGUSB OTG控控制器、制器、CMOSCMOS传感器接口、感器接口、PCMCIA/CFPCMCIA/CF接口、接口、MMC/SDMMC/SD接口等,接口等,可以可以进行行WLANWLAN和和蓝牙等多种功能牙等多种功能的的扩展展10107.2.2 Atmel公司的公司的ARM微处理器芯片微处理器芯片n美国美国AtmelAtmel公司公司继成功推出成功推出AT89AT89系列(兼容系列(兼容MCS-51MCS-51)和)和AT90AT90系列系列(RISC)(RISC)单片机后,采用片机后,采用业界流行的界流行的ARMARM内核推出了更内核推出了更强的的3232位位AT91AT91系列微控制器。系列微控制器。该系列主要包含以下子系列:系列主要包含以下子系列:uAT91M42800AAT91M42800A,AT91M55800AAT91M55800AuAT91RM9200AT91RM9200,AT91SAM7AAT91SAM7AuAT91SAM7L(AT91SAM7L(低功耗低功耗),AT91SAM7SAT91SAM7SuAT91SAM7SEAT91SAM7SE,AT91SAM7XAT91SAM7XuAT91SAM9AT91SAM9,AT91SAM9XEAT91SAM9XE和和AT91X40AT91X40nAT91AT91系列微控制器使用高密度系列微控制器使用高密度CMOSCMOS技技术,集成了,集成了ARMARM内核和大量的内核和大量的FlashFlash存存储器、片内器、片内RAMRAM和各种外和各种外围功能模功能模块,为许多需要加多需要加强运运算的嵌入式算的嵌入式应用提供了高度灵活且性价比高的解决方案。用提供了高度灵活且性价比高的解决方案。1111Atmel公司的公司的AT91SAM9263n基于基于ARM926EJ-SARM926EJ-S内核的内核的3232位微位微处理器理器n带MMUMMU存存储器器管管理理单元元,支支持持DSPDSP扩展展指指令令和和JAVAJAVA硬硬件件加加速,当运行在速,当运行在200MHz200MHz时拥有有220MIPS220MIPS的运算性能的运算性能nAT91SAM9263AT91SAM9263具具备两两组独独立立的的外外部部存存储器器总线EBI0EBI0和和EBI1EBI1,可以,可以连接多种内存接多种内存设备和大容量和大容量IDEIDE硬硬盘设备n内内部部嵌嵌有有LCDLCD控控制制器器,可可支支持持2D2D图形形加加速速、双双通通道道DMADMA和和图像像传感器接口感器接口n集集成成了了多多种种标准准外外设接接口口,例例如如USARTUSART,SPISPI,TWITWI,定定时计数器,数器,PWMPWM,多媒体卡接口和,多媒体卡接口和CANCAN控制器等控制器等1212AT91SAM9263内部结构框图内部结构框图13137.2.3 三星公司的三星公司的ARM微处理器芯片微处理器芯片n三星公司推出的基于三星公司推出的基于ARMARM内核的微内核的微处理器主要面向消理器主要面向消费类便便携式携式设备,为手持手持设备和一般和一般应用提供了高性价比和高性能用提供了高性价比和高性能的微控制器解决方案。的微控制器解决方案。包括:包括:u采用采用ARM7TDMIARM7TDMI内核的内核的S3C44B0S3C44B0和和S3C3410S3C3410u采用采用ARM926EJ-SARM926EJ-S内核的内核的S3C2412S3C2412,S3C2413S3C2413,S3C2416S3C2416,S3C2450S3C2450和和S3C24A0S3C24A0u采用采用ARM920TARM920T内核的内核的S3C2410S3C2410,S3C2440S3C2440,S3C2442S3C2442和和S3C2443S3C2443u采用采用ARM1176JZFARM1176JZF内核的内核的S3C6400S3C6400等等n三星公司采用三星公司采用ARMARM内核的微内核的微处理器主要按照理器主要按照应用来划分,如用来划分,如通用型通用型3232位位MCUMCU主要采用主要采用ARM7TDMIARM7TDMI内核,面向内核,面向CAN/LINCAN/LIN总线、以太网、以太网、发动机控制和机控制和RFIDRFID等等应用用场合。合。1414三星公司的三星公司的S3C2440An采用采用ARM920TARM920T内核,内核,实现了了MMUMMU,AMBAAMBA总线和和哈佛架构的高速哈佛架构的高速缓冲体冲体系系结构。构。n在高速在高速缓存方面采用了存方面采用了独立的独立的16 KB16 KB的的I-cacheI-cache和和16 KB16 KB的的D-cacheD-cache。n采用采用0.13 0.13 m CMOSm CMOS工工艺标准宏准宏单元和存元和存储器器单元,其低功耗、元,其低功耗、简洁的的结构和全静构和全静态电路路设计特特别适合适合对成本和功耗成本和功耗敏感的敏感的应用。用。n为了降低整机系了降低整机系统的成的成本,提供了各本,提供了各类丰富的丰富的功能模功能模块,在系,在系统设计中无需配置中无需配置额外的外部外的外部组件。件。15157.2.4 意法半导体公司的意法半导体公司的ARM微处理器芯片微处理器芯片n意法半意法半导体有限公司体有限公司(STMicroelectronics)(STMicroelectronics)是全球最大的半是全球最大的半导体体公司之一。其采用公司之一。其采用ARMARM内核的微内核的微处理器主要包括三个系列:采用理器主要包括三个系列:采用Cortex-M3Cortex-M3内核的内核的STM32STM32系列、采用系列、采用ARM7TDMIARM7TDMI内核的内核的STR7STR7系列和采系列和采用用ARM966E-SARM966E-S内核的内核的STR9STR9系列。系列。nSTM32STM32系列系列产品得益于品得益于Cortex-M3Cortex-M3在架构上在架构上进行的多行的多项改改进,包括,包括性能和代性能和代码密度同密度同时得以提升的得以提升的Thumb-2Thumb-2指令集,大幅度提高的指令集,大幅度提高的中断响中断响应,而且所有新功能都同,而且所有新功能都同时具有具有业界最界最优的功耗水平。的功耗水平。nSTR7STR7系列系列产品融合了品融合了1616位和位和3232位位产品的品的优势,适合嵌入式控制,适合嵌入式控制应用,如工用,如工业控制、工厂自控制、工厂自动化、化、销售售终端端设备、医、医疗和和测试设备以及以及电信和消信和消费电子子应用等。用等。nSTR9STR9系列系列结合了合了ARM9EARM9E处理器内核和通用理器内核和通用闪存,并加入了存,并加入了联网功网功能,使能,使实现各种要求苛刻的各种要求苛刻的应用用变得更加得更加简单和廉价。和廉价。1616意法半导体公司的意法半导体公司的STR91xn采用采用96 MHz ARM966E-S96 MHz ARM966E-S内核,带内核,带有单周期的有单周期的DSPDSP指令和与介质无指令和与介质无关的联网功能;关的联网功能;n大容量存储器大容量存储器可满足复杂的应用、可满足复杂的应用、实时操作系统实时操作系统(RTOS)(RTOS)、通信协议、通信协议栈和数据存储的需求;双体闪存栈和数据存储的需求;双体闪存是实现在线编程是实现在线编程(IAP)(IAP)和和E E2 2PROMPROM仿真的理想结构。仿真的理想结构。n具有优化具有优化DMADMA数据流的数据流的10/100 M10/100 M以太网接口以太网接口;n支持支持USBUSB,CANCAN,SPISPI,I2CI2C,UART/IrDAUART/IrDA和多个计时器,最多和多个计时器,最多8080个个5 V5 V兼容的兼容的GPIOGPIO;n带有带有1010位位ADCADC并具有全方位的并具有全方位的CPUCPU监控功能;监控功能;n灵活的功耗和时钟管理功能,具灵活的功耗和时钟管理功能,具有多种低功耗模式和带闹钟功能有多种低功耗模式和带闹钟功能的低功耗实时时钟。的低功耗实时时钟。17177.2.5 恩智浦半导体的恩智浦半导体的ARM微处理器芯片微处理器芯片n恩智浦半恩智浦半导体由体由飞利浦在利浦在 50 50 多年前多年前创立。恩智浦提供半立。恩智浦提供半导体、系体、系统解决方案和解决方案和软件,件,为电视、机、机顶盒、智能盒、智能识别应用、手机、汽用、手机、汽车以及其他形形色色的以及其他形形色色的电子子设备提供更好提供更好的感知体的感知体验。n恩智浦半恩智浦半导体基于体基于ARM7ARM7的的LPC2000 LPC2000 为32 32 位微控制器位微控制器设定定了新的性价比,包括了新的性价比,包括LPC213xLPC213x,LPC214xLPC214x、LPC2101/2/3LPC2101/2/3等等系列,系列,采用了采用了0.16-0.18 m0.16-0.18 m工工艺n更高性能更高性能产品系列品系列(LPC2300,LPC2400,LPC2800)(LPC2300,LPC2400,LPC2800)采用了采用了0.14um0.14um工工艺1818恩智浦半导体的恩智浦半导体的LPC2000nARM7TDMI-SARM7TDMI-S内核内核三三级流水流水线冯诺依曼架构依曼架构T:ThumbT:Thumb指令集指令集D:DebugD:Debug扩展展M:M:增增强的乘法指令的乘法指令(32B x 8B-64B)(32B x 8B-64B)I:I:内核内核带有有EmbeddedICEEmbeddedICE逻辑功能功能扩展展S:S:软核核n高速嵌入式高速嵌入式FlashFlashn丰富的外丰富的外设资源源UART,SPI,I2C,CAN,USB,ethernetUART,SPI,I2C,CAN,USB,ethernet等等n工工业级芯片芯片工作温度范工作温度范围:40C:40C+85C/+105C+85C/+105Cn丰富开丰富开发工具支持工具支持高性能高性能,低价格低价格1919ARM芯片的选型芯片的选型n性能参数的考性能参数的考虑uARMARM核版本核版本u工作工作频率率u内部存内部存储器容量器容量u电源控制源控制uDSPDSP协处理器理器u功耗功耗n外外设接口的考接口的考虑uUSBUSB接口接口uRTCRTCuGPIOGPIO数量数量u其他因素其他因素n芯片封装的芯片封装的选择u芯片的封装有很多种芯片的封装有很多种类型,如:型,如:DIPDIP、QFPQFP、PGAPGA、BGABGA等等u在散在散热性能、信号完整性特性、体性能、信号完整性特性、体积大小等方面的要求大小等方面的要求20207.3 ARM微处理器最小硬件系统的设计微处理器最小硬件系统的设计nARMARM微处理器;微处理器;n电源模块,包括电源模块,包括CPUCPU内核和内核和I/OI/O接口电源电源;接口电源电源;n时钟模块,包括系统主时时钟模块,包括系统主时钟和实时时钟;钟和实时时钟;n复位模块,包括系统上电复位模块,包括系统上电复位、手动复位和内部复复位、手动复位和内部复位;位;n存储器模块,包括程序保存储器模块,包括程序保存存储器(存存储器(FLASHFLASH)和程序)和程序运行存储器(运行存储器(SDRAMSDRAM););nJTAGJTAG调试接口模块。调试接口模块。2121S3C2440AS3C2440A最小硬件系统:电源模块最小硬件系统:电源模块电源源模模块是是系系统工工作作的的能能量量来来源源,其其电压、纹波波、内内阻阻和和驱动能能力力等等性性能能直直接接影影响响到到系系统工工作作的的稳定定性性,因因此此电源源模模块在在系系统设计中中至至关重要。关重要。u电源源电压一定要在系一定要在系统需求的范需求的范围之内之内u电源源的的驱动能能力力一一定定要要能能满足足整整个个系系统的的功率需求功率需求u电源源纹波和波和电路路干干扰的的处理理u在在设计PCBPCB时需需要要对模模拟电源源和和数数字字电源源进行物理上的隔离行物理上的隔离22222323S3C2440AS3C2440A最小硬件系统:时钟模块最小硬件系统:时钟模块时钟模模块为系系统提提供供同同步步工工作作信信号号,其其稳定定性性直直接接关系到系关系到系统的工作的工作稳定性。定性。在在ARMARM嵌嵌入入式式系系统中中通通常常包包括括频率率较高高的的系系统主主时钟和和频率率较低的低的实时时钟。时钟经内部锁相环后得到四组时钟信号:时钟经内部锁相环后得到四组时钟信号:uFCLKFCLK:ARM920TARM920T内核内核uHCLKHCLK:AHBAHB总总线线、存存储储器器控控制制器器、中中断断控控制制器器、LCDLCD控控制制器器、DMADMA控制器和控制器和USBUSB主机模块主机模块uPCLKPCLK:访访问问APBAPB总总线线的的外外设设,如如WDTWDT,I I2 2S S,I I2 2C C,PWMPWM定定时时器器,MMCMMC接口,接口,ADCADC,UARTUART,GPIOGPIO,RTCRTC和和SPISPI等模块等模块uUCLKUCLK:USBUSB模块需要的模块需要的48 MHz48 MHz时钟时钟2424S3C2440AS3C2440A最小硬件系统:复位模块最小硬件系统:复位模块n系系统上上电复位、手复位、手动复位和内部复位复位和内部复位n一一般般来来说系系统对外外部部复复位位信信号号波波形形有有一一定定的的要要求求,若若不不能能满足足要要求求(例例如如持持续时间过短短),则系系统将不能正常工作。将不能正常工作。手动复位手动复位2525内部复位内部复位1.1.可以由可以由“看看门狗狗”独立独立电路路实现;2.看看门狗狗,又叫又叫 watchdog timer,是一个定是一个定时器器电路路。看看门狗的作用就是防止程序狗的作用就是防止程序发生死循生死循环,或者,或者说程序程序跑跑飞;3.3.工作原理:在系工作原理:在系统运行后就启运行后就启动看看门狗的狗的计数器,看数器,看门狗就开狗就开始自始自动计数,如果到了一定的数,如果到了一定的时间还不去清看不去清看门狗狗(即定期即定期设置置计数初始数初始值),看,看门狗狗计数器就会溢出(数器就会溢出(这就就说明程序已明程序已经不能正常工作)从而引起看不能正常工作)从而引起看门狗中断,造成系狗中断,造成系统复位。因此如复位。因此如果用果用户程序是正常运行的,程序是正常运行的,则会定期清除会定期清除计数数值,“看看门狗狗”就不会触就不会触发内部复位。内部复位。2626S3C2440AS3C2440A最小硬件系统:存储器模块最小硬件系统:存储器模块n存存储器器模模块为系系统程程序序的的保保存存和和运运行行提提供供空空间,在在系系统设计中中主主要要根根据据处理理器器的的存存储器器接接口口选择合合适适的的存存储器器芯芯片片(存存储类型型、容容量、速度和接口量、速度和接口类型型 )nARMARM最最小小系系统中中的的存存储器器通通常常包包括括存存放放程程序序的的NAND FlashNAND Flash和用于程序运行的和用于程序运行的SDRAMSDRAM。n存存储器器模模块通通常常挂挂接接在在ARM芯芯片片的的局局部部总线上上(外部三外部三总线)。2727存储器存储器控制器控制器存储器存储器存储器及其接口存储器及其接口ARMARM定义了局部总线定义了局部总线的接口时序的接口时序各芯片厂商制定了自己各芯片厂商制定了自己的接口时序的接口时序ARMARM局部总线局部总线一般在两者之间加入存储器控制器(接口)一般在两者之间加入存储器控制器(接口)2828单字字节Boot ROM 设计用字节用字节ROM 设设计半字计半字Boot ROM2929用半字用半字ROM设计半字半字BOOT ROM用字节用字节ROM设设计的字计的字Boot ROM3030S3C2440AS3C2440A最小硬件系统:调试接口最小硬件系统:调试接口ARMARM微微处理器一般都采用理器一般都采用JTAGJTAG作作为基本基本调试接口接口nTRSTnTRST,TMSTMS,TCKTCK,TDITDI和和TDOTDO3131LEDLED灯灯对应对应S3C2440S3C2440引脚引脚LED0LED0S3C2410_GPC7S3C2410_GPC7LED1LED1S3C2410_GPC5S3C2410_GPC5LED2LED2S3C2410_GPH9S3C2410_GPH9LED3LED3S3C2410_GPB4S3C2410_GPB4LED4LED4S3C2410_GPG5S3C2410_GPG5LED5LED5S3C2410_GPG6S3C2410_GPG6LED6LED6S3C2410_GPG7S3C2410_GPG7LED7LED7S3C2410_GPG8S3C2410_GPG8 LEDLED接口及驱动设计接口及驱动设计323233RegisterRegisterAddressAddressR/WR/WDescriptionDescriptionReset Reset valuevalueGPGCONGPGCON0 x560000600 x56000060R/WR/WConfigures the pins of Configures the pins of port Gport G0 x00 x0GPGDATGPGDAT0 x560000640 x56000064R/WR/WThe data register of port The data register of port G GUndefUndefGPGUPGPGUP0 x560000680 x56000068R/WR/WPull-up disable register of Pull-up disable register of port Gport G0 xfc000 xfc00GPGCON是是GPIO G端口的端口的配置寄存器配置寄存器,它决定每个管脚使用什么功能,它决定每个管脚使用什么功能,它它可以配置管脚为输入,输出等功能可以配置管脚为输入,输出等功能GPGDAT是是GPIO G端口的端口的数据寄存器数据寄存器,如果端口被配置为输出端口,则,如果端口被配置为输出端口,则数数据可以写入到据可以写入到G口的数据寄存器中;如果端口被配置为输入端口,口的数据寄存器中;如果端口被配置为输入端口,则数据从则数据从G口的数据寄存器中读出数据口的数据寄存器中读出数据GPGUP是是GPIO G端口的端口的上拉寄存器上拉寄存器,它控制,它控制G口的上拉功能是否有效,口的上拉功能是否有效,为为0时,上拉有效,为时,上拉有效,为1时,上拉无效时,上拉无效与与GPIOGPIO(LEDLED接口)相关的控制寄存器接口)相关的控制寄存器3333GPG8GPG817:1617:1600=input10=EINT1601=Output11=ReservedGPG7GPG715:1415:1400=input10=EINT1501=Output11=ReservedGPG6GPG613:1213:1200=input10=EINT1401=Output11=ReservedGPG5GPG511:1011:1000=input10=EINT1301=Output11=Reserved PORT GPORT G控制寄存器功能描述及控制字设置控制寄存器功能描述及控制字设置 ;设置设置GPG5GPG8(即即LED4-LED7)为为output GPGCON EQU 0 x56000060 ldr r0,=GPGCON ldr r1,r0 bic r1,r1,#0 x0003fc00 orr r1,r1,#0 x00015400 str r1,r03434p经常不断地学习,你就什么都知道。你知道得越多,你就越有力量pStudyConstantly,AndYouWillKnowEverything.TheMoreYouKnow,TheMorePowerfulYouWillBe写在最后35Thank You在别人的演说中思考,在自己的故事里成长Thinking In Other PeopleS Speeches,Growing Up In Your Own Story讲师:XXXXXX XX年XX月XX日36
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!