第4章--组合逻辑电路课件

上传人:沈*** 文档编号:241639291 上传时间:2024-07-12 格式:PPT 页数:137 大小:5.38MB
返回 下载 相关 举报
第4章--组合逻辑电路课件_第1页
第1页 / 共137页
第4章--组合逻辑电路课件_第2页
第2页 / 共137页
第4章--组合逻辑电路课件_第3页
第3页 / 共137页
点击查看更多>>
资源描述
第第4 4章章 组合逻辑电路组合逻辑电路4.1.1组合逻辑电路的分析方法组合逻辑电路的分析方法 4.1.组合逻辑电路的设计方法组合逻辑电路的设计方法 4.14.1 SSI SSI组合逻辑电路的分析和设计组合逻辑电路的分析和设计 结束结束结束结束放映放映放映放映7/12/20241复习复习CMOS和TTL各种门电路的判断及分析CMOS门的特点?CMOS门使用时要特别注意什么?TTL门使用时要特别注意什么?CMOS门和TTL门的接口电路要考虑哪两个问题?7/12/20242数数字字电电路路组合逻辑电路组合逻辑电路时序逻辑电路时序逻辑电路 任一时刻的输出仅取决于任一时刻的输出仅取决于该时刻的输入,与电路原来的该时刻的输入,与电路原来的状态无关。状态无关。任一时刻的输出不仅取决任一时刻的输出不仅取决于现时的输入,而且还与电路于现时的输入,而且还与电路原来状态原来状态 有关。有关。第第4 4章章 组合逻辑电路组合逻辑电路7/12/202434.1.1 组合逻辑电路的分析方法组合逻辑电路的分析方法4.14.1 SSI SSI组合逻辑电路的分析和设计组合逻辑电路的分析和设计 小规模集成电路是指每片在十个门以下的集成芯片。所谓组合逻辑电路的分析,就是根据给定的逻辑电路图,求出电路的逻辑功能。分分 析析已知逻辑电路已知逻辑电路说明逻辑功能说明逻辑功能7/12/20244分析方法步骤:分析方法步骤:组合逻辑组合逻辑电路图电路图写出逻辑写出逻辑表达式表达式化简化简列真值表列真值表说明逻辑功能说明逻辑功能7/12/20245逻辑图逻辑图逻辑表逻辑表达式达式11最简与或最简与或表达式表达式化简22从输入到输出逐级写出2.2.举例说明组合逻辑电路的分析方法举例说明组合逻辑电路的分析方法 7/12/20246最简与或最简与或表达式表达式3真值表真值表34电路的逻电路的逻辑功能辑功能当输入当输入A、B、C中有中有2 2个或个或3 3个为个为1 1时,输时,输出出Y为为1 1,否则,否则输出输出Y为为0 0。所。所以这个电路实以这个电路实际上是一种际上是一种3 3人表决用的组人表决用的组合电路:只要合电路:只要有有2票或票或3票同票同意,表决就通意,表决就通过。过。4000101117/12/20247分析图分析图3-23-2(a a)所示电路的逻辑功能。)所示电路的逻辑功能。图图3-2 3-2 例例3-23-2逻辑电路图逻辑电路图仿真仿真 7/12/20248 解:为了方便写表达式,在图中标注中间变量,比如F1、F2和F3。S7/12/20249表3-2 例3-2真值表该电路实现两个一位二进制数相加的功能。S是它们的和,C是向高位的进位。由于这一加法器电路没有考虑低位的进位,所以称该电路为半加器。根据S和C的表达式,将原电路图改画成图3-2(b)所示的逻辑图。图3-2(b)逻辑图仿真仿真 7/12/2024104.1.2 组合逻辑电路的设计方法组合逻辑电路的设计方法与分析过程相反,组合逻辑电路的设计是根据给定的实际逻辑问题,求出实现其逻辑功能的最简单的逻辑电路。设设 计计根据实际根据实际逻辑问题逻辑问题最简单逻最简单逻辑电路辑电路7/12/202411形式变换形式变换写出表达式写出表达式并简化并简化设计步骤:设计步骤:确定输入、输出确定输入、输出列出真值表列出真值表根据设计所用根据设计所用芯片要求芯片要求画逻辑电路图画逻辑电路图7/12/2024122.组合逻辑电路设计方法举例。例:一火灾报警系统,设有烟感、温感和紫外光感三种类型的火灾探测器。为了防止误报警,只有当其中有两种或两种以上类型的探测器发出火灾检测信号时,报警系统产生报警控制信号。设计一个产生报警控制信号的电路。解:(1)分析设计要求,设输入输出变量并逻辑赋值;输入变量:烟感A、温感B,紫外线光感C;输出变量:报警控制信号Y。逻辑赋值:用1表示肯定,用0表示否定。7/12/202413(2)列真值表;把逻辑关系转换成数字表示形式:表3-2 例3-3真值表 (3)由真值表写逻辑表达式,并化简;化简得最简式:7/12/202414图3-3 例3-3的逻辑电路图 (4)画逻辑电路图:用与非门实现,其逻辑图与例3-1相同。如果作以下变换:用一个与或非门加一个非门就可以实现,其逻辑电路图如图3-3所示。7/12/2024154.2.1 普通编码器普通编码器 4.2.2 优先编码器优先编码器 4.24.2 编码器编码器结束结束结束结束放映放映放映放映7/12/202416人们为解决实践上遇到的各种逻辑问题,设计了许多逻辑电路。然而,我们发现,其中有些逻辑电路经常、大量出现在各种数字系统当中。为了方便使用,各厂家已经把这些逻辑电路制造成中规模集成的组合逻辑电路产品。比较常用的有编码器、译码器、数据选择器、加法器和数值比较器等等。下面分别进行介绍。7/12/202417生活中常用十进制数及文字、符号等表示事物。4.2 4.2 编码器编码器数字电路只能以二进制信号工作。用二进制代码表示文字、符号或者数码等特定对象的过程,称为编码。实现编码的逻辑电路,称为编码器。编码器译码器7/12/202418对M个信号编码时,应如何确定位数N?N位二进制代码可以表示多少多少个信号?例:对101键盘编码时,采用几几位二进制代码?编码原则:N位二进制代码可以表示2N个信号,则对M个信号编码时,应由2N M来确定位数N。例:对101键盘编码时,采用了7位二进制代码ASC码。27128101。目前经常使用的编码器有普通编码器和优先编码器两种。7/12/2024194.2.1 普通编码器普通编码器 定义:任何时刻只允许输入一个有效编码请求信号,否则输出将发生混乱。举例:以一个三位二进制普通编码器为例,说明普通编码器的工作原理。图3-4 普通编码器的方框图输入:八个信号(对象)I0I7(二值量)八个病房呼叫请求输出:三位二进制代码Y2Y1Y0称八线三线编码器对病房编码7/12/202420 I0 I1 I2 I3 I4 I5 I6 I7Y2Y1Y0 表3-4 编码器输入输出的对应关系设输入信号为1表示对该输入进行编码。任何时刻只允许输入一个编码请求表达式、电路图?其它输入取值组合不允许出现,为无关项。7/12/202421利用无关项化简,得:7/12/2024224.2.2 优先编码器优先编码器 在优先编码器中,允许同时输入两个以上的有效编码请求信号。当几个输入信号同时出现时,只对其中优先权最高的一个进行编码。优先级别的高低由设计者根据输入信号的轻重缓急情况而定。如根据病情而设定优先权。7/12/202423图3-5 74LS148的逻辑符号 输入端输出端选通输出端选通输入端扩展端7/12/202424表3-5 74LS148电路的功能表例:八线三线优先编码器74LS148 7/12/202425 74LS148的逻辑功能描述:(1)编码输入端:逻辑符号输入端 上面均有“”号,这表示编码输入低电平有效。I0 I7低电平有效允许编码,但无有效编码请求优先权最高7/12/202426(2)编码输出端:从功能表可以看出,74LS148编码器的编码输出是反码。Y2、Y1、Y0 7/12/202427 (3)选通输入端:只有在 =0时,编码器才处于工作状态;而在 =1时,编码器处于禁止状态,所有输出端均被封锁为高电平。S 禁止状态工作状态S 7/12/202428允许编码,但无有效编码请求正在优先编码(4)选通输出端YS 和扩展输出端YEX :为扩展编码器功能而设置。7/12/202429低电平实例:实例:74HC14874HC1487/12/202430选选通通信信号号选通信号7/12/202431附附加加输输出出信信号号为0时,电路工作无编码输入为0时,电路工作有编码输入7/12/202432以上通过对74LS148编码器逻辑功能的分析,介绍了通过MSI器件逻辑功能表了解集成器件功能的方法。要求初步具备查阅器件手册的能力。不要求背74LS148的功能表。7/12/202433下页下页上页上页返回返回74LS14874LS148例:例:试用两片试用两片74LS148组成组成16线线4线优先编码器。线优先编码器。均无信号时,才允许对均无信号时,才允许对 输入信号编码输入信号编码。编码输出为原码优先权优先权最高最高7/12/20243400101111111001 1 1 11 0 1当当A8-A15为为10101111时,时,_片处于编码片处于编码状态状态,_片被封锁片被封锁,Z3Z2Z1Z0=_。7/12/2024351 1 1 1 1 1 1 110111010010101 11 0 1 0 1仿真仿真 当当A0-A7为为10101011时,时,_片处于编码状片处于编码状态态,_片被封锁片被封锁,Z3Z2Z1Z0=_。7/12/202436二、二十进制编码器二、二十进制编码器输入端输入端10 10 个,输出端个,输出端4 4个,也称个,也称1010线线4 4线编码器。线编码器。集成集成1010线线-4-4线优先编码器线优先编码器输入输输入输出均低出均低电平有电平有效。效。7/12/2024374.3.1 二进制译码器二进制译码器4.3.2 二二-十进制译码器十进制译码器4.34.3 译码器译码器 结束结束结束结束放映放映放映放映4.3.3 显示译码器显示译码器7/12/202438复习复习全班有42名同学,需几位二进制代码才能表示?为什么要用优先编码器?简述SSI组合电路的分析步骤。简述SSI组合电路的设计步骤。7/12/2024394.34.3 译码器译码器 译码:编码的逆过程,将编码时赋予代码的特定含义“翻译”出来。译码器:实现译码功能的电路。常用的译码器有二进制译码器、二-十进制译码器和显示译码器等。二进制代码原来信息编码对象编码译码7/12/2024404.3.1 二进制译码器二进制译码器 图3-7 三位二进制译码器的方框图输入:二进制代码(N位),输出:2N个,每个输出仅包含一个最小项。输入是三位二进制代码、有八种状态,八个输出端分别对应其中一种输入状态。因此,又把三位二进制译码器称为3线8线译码器。7/12/202441输入输出A2A1A0Y7Y6Y5Y4Y3Y2Y1Y000000000001001000000100100000010001100001000100000100001010010000011001000000111100000007/12/202442用电路进行实现 用二极管与门阵列组成的3线8线译码器7/12/2024431.74HC138的逻辑功能内部电路图负逻辑与非门译码输入端 S为控制端(又称使能端)S=1 译码工作 S=0 禁止译码,输出全1 1 输出端为便于理解功能而分析内部电路仿真仿真 7/12/202444表3-6 74HC138的功能表译中为0高电平有效低电平有效禁止译码译码工作7/12/20244574LS138的逻辑符号低电平有效输出三位二进制代码使能端7/12/20244674HC138的逻辑功能 三个译码输入端(又称地址输入端)A0、A1、A2,八个译码输出端 Y0-Y7以及三个控制端(又称使能端)S1、S2、S3 S1、S2、S3是译码器的控制输入端,当S1=1、S2+S3=0(即S1=1,S2和S3 均为0)时,S输出为高电平,译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平。7/12/202447当译码器处于工作状态时,每输入一个二进制代码将使对应的一个输出端为低电平,而其它输出端均为高电平。也可以说对应的输出端被“译中”。74HC138输出端被“译中”时为低电平,所以其逻辑符号中每个输出端Y0Y7 7上方均有“”符号。7/12/202448 2.应用举例 (1)功能扩展(利用使能端实现)用两片74LS138译码器构成4线16线译码器D3=0时,片工作,片禁止(00000111)D3=1时,片禁止,片工作(10001111)扩展位控制使能端7/12/202449(1)片工作,片工作,(2)片禁止。若输入片禁止。若输入D3D2D1D0=0100时,时,译码器译码器_输出输出_。000(1)111101117/12/202450(2)片工作,片工作,(1)片禁止。若输入片禁止。若输入D3D2D1D0=1101时,时,译码器译码器_输出输出_。111(2)111110117/12/202451(2)实现组合逻辑函数F(A,B,C)比较以上两式可知,把3线8线译码器74LS138地址输入端(A2A1A0)作为逻辑函数的输入变量(ABC),译码器的每个输出端Yi 都与某一个最小项mi相对应,加上适当的门电路,就可以利用译码器实现组合逻辑函数。7/12/202452例3-4 试用74LS138译码器实现逻辑函数:解:因为则7/12/202453因此,正确连接控制输入端使译码器处于工作状态,将Y1、Y3、Y5、Y6、Y7经一个与非门输出,A2、A1、A0分别作为输入变量A、B、C,就可实现组合逻辑函数。电路图仿真仿真 7/12/2024544.3.2 二二-十进制译码器十进制译码器 二十进制译码器的逻辑功能是将输入的BCD码译成十个输出信号。二二-十进制译码器的输入是十进制数的十进制译码器的输入是十进制数的4 4位二进制位二进制编码(编码(BCDBCD码),分别用码),分别用A A3 3、A A2 2、A A1 1、A A0 0表示;输表示;输出的是与出的是与1010个十进制数字相对应的个十进制数字相对应的1010个信号,用个信号,用Y Y9 9Y Y0 0表示。由于二表示。由于二-十进制译码器有十进制译码器有4 4根输入线,根输入线,1010根输出线,所以又称为根输出线,所以又称为4 4线线-10-10线译码器线译码器。7/12/202455集成集成8421 8421 BCDBCD码译码器码译码器7474LS42LS42输入端输出端7/12/202456表3-7 二-十进制译码器74LS42的功能表译中为0拒绝伪码7/12/2024574.3.3 4.3.3 显示译码器显示译码器在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果,另一方面用于监视数字系统的工作情况。数字显示电路是数字设备不可缺少的部分。数字显示电路通常由显示译码器、驱动器和显示器等部分组成,如图所示。7/12/202458数字显示电路的组成方框图 1.数字显示器件 数字显示器件是用来显示数字、文字或者符号的器件,常见的有辉光数码管、荧光数码管、液晶显示器、发光二极管数码管、场致发光数字板、等离子体显示板等等。本书主要讨论发光二极管数码管。7/12/202459(1)发光二极管(LED)及其驱动方式 LED具有许多优点,它不仅有工作电压低(1.53V)、体积小、寿命长、可靠性高等优点,而且响应速度快(100ns)、亮度比较高。一般LED的工作电流选在510mA,但不允许超过最大值(通常为50mA)。LED可以直接由门电路驱动。7/12/202460 图(a)是输出为低电平时,LED发光,称为低电平驱动;图(b)是输出为高电平时,LED发光,称为高电平驱动;采用高电平驱动方式的TTL门最好选用OC门。门电路驱动LED(a)低电平驱动 (b)高电平驱动7/12/202461七段显示LED数码管(a)外形图(b)共阴型(c)共阳型 (2)LED数码管LED数码管又称为半导体数码管,它是由多个LED按分段式封装制成的。LED数码管有两种形式:共阴型和共阳型。公共阴极公共阳极高电平驱动低电平驱动7/12/202462七段数码管字形显示方式2七段显示译码器(1)七段字形显示方式LED数码管通常采用如图所示的七段字形显示方式来表示0-9十个数字。7/12/202463abcdfga b c d e f g1 1 1 1 1 1 00 1 1 0 0 0 01 1 0 1 1 0 1e7/12/202464图3-1674LS49的逻辑符号 (2)七段显示译码器灭灯控制端8421BCD码七段代码 七段显示器译码器把输入的BCD码,翻译成驱动七段LED数码管各对应段所需的电平。74LS49是一种七段显示译码器。7/12/202465表3-874LS49的功能表8421BCD码禁止码灭灯状态7/12/202466译码输入端:D、C、B、A,为为8421BCD码;七段代码输出端:abcdefg,某段输出为高电平时该段点亮,用以驱动高电平有效的七段显示LED数码管;灭灯控制端:IB,当IB=1时,译码器处于正常译码工作状态;若IB=0,不管D、C、B、A输入什么信号,译码器各输出端均为低电平,处于灭灯状态。利用IB信号,可以控制数码管按照要求处于显示或者灭灯状态,如闪烁、熄灭首尾部多余的0等。7/12/20246774LS49驱动LED数码管电路下图是一个用七段显示译码器74LS49驱动共阴型LED数码管的实用电路。7/12/202468七段显示译码器七段显示译码器74487448引脚排列图引脚排列图灯测试输入灯测试输入灭零输入灭零输入灭灯输入灭零输出灭灯输入灭零输出7/12/202469用用74487448驱动驱动BS201BS201的连接方法的连接方法7/12/202470RBIRBI和和RBORBO配合使用,可使多位数字显示时的配合使用,可使多位数字显示时的最高位及小数点后最低位的最高位及小数点后最低位的0 0不显示不显示0 0 0 6 7.9 0 00 0 0 6 7.9 0 07/12/2024714.4.3 应用举例应用举例4.4.1 数据选择器的工作原理数据选择器的工作原理4.4.2 八选一数据选择器八选一数据选择器74LS1514.44.4 数据选择器数据选择器结束结束结束结束放映放映放映放映4 4.5.5加法器加法器4.5.1全加器全加器4.5.2多位加法器多位加法器 4 4.6.6 数值比较器数值比较器7/12/202472复习复习LED数码管有哪两种形式?高电平有效的七段显示译码器应驱动哪种LED数码管?如何用74LS138译码器实现如下逻辑函数?7/12/202473在多路数据传送过程中,能够根据需要将其中任意一路挑选出来的电路,叫做数据选择器,也称为多路选择器,其作用相当于多路开关。常见的数据选择器有四选一、八选一、十六选一电路。4.44.4 数据选择器数据选择器7/12/202474以双四选一数据选择器为例。以双四选一数据选择器为例。双四选一数据选择器电路4.4.1 数据选择器的工作原理数据选择器的工作原理地址输入端控制输入端数据输入端输出端7/12/202475(2)四选一数据选择器的功能表四选一数据选择器的功能表“双四选一”,74HC153 分析其中的一个“四选一”动画动画7/12/2024764.4.2 八选一数据选择器八选一数据选择器74LS151三个地址输入端A2、A1、A0,八个数据输入端D0D7,两个互补输出的数据输出端Y和Y,一个控制输入端S。7/12/20247774LS151的功能表禁止状态 工作状态 7/12/202478例:用两个例:用两个“四选一四选一”接成接成“八选一八选一”l“四选一”只有2位地址输入,从四个输入中选中一个l“八选一”的八个数据需要3位地址代码指定其中任何一个A2=1时,下边一半数据选时,下边一半数据选择器工作,数据择器工作,数据D4D7选择选择一路输出。一路输出。A2=0时,上边一半数据选时,上边一半数据选择器工作,数据择器工作,数据D0D3选择选择一路输出。一路输出。74HC1537/12/2024794.4.3 应用举例应用举例1.功能扩展 用两片八选一数据选择器74LS151,可以构成十六选一数据选择器。方法可参考:用两个方法可参考:用两个“四选一四选一”接成接成“八选一八选一”使能端的应用使能端的应用7/12/202480用74LS151构成十六选一数据选择器 扩展位接控制端A3=1时,片禁止,片工作A3=0时,片工作,片禁止输出需适当处理(该例接或门)仿真仿真 7/12/2024812 2 实现组合逻辑函数实现组合逻辑函数比较可知,表达式中都有最小项mi,利用数据选择器可以实现各种组合逻辑函数。组合逻辑函数8选14选17/12/202482用数据选择器设计组合逻辑电路用数据选择器设计组合逻辑电路一般步骤:一般步骤:1.1.列出所求逻辑函数的真值表,写出其最小项表达式。列出所求逻辑函数的真值表,写出其最小项表达式。2.2.根据上述函数包含的变量数,选定数据选择器。根据上述函数包含的变量数,选定数据选择器。3.3.对照比较所求逻辑函数式和数据选择器的输出表达式对照比较所求逻辑函数式和数据选择器的输出表达式确定选择器输入变量的表达式或取值。确定选择器输入变量的表达式或取值。4.4.按照求出的表达式或取值连接电路,画电路连线图。按照求出的表达式或取值连接电路,画电路连线图。7/12/202483例 试用八选一电路实现 解:将A、B、C分别从A2、A1、A0输入,作为输入变量,把Y端作为输出F。因为逻辑表达式中的各乘积项均为最小项,所以可以改写为根据八选一数据选择器的功能,令7/12/202484具体电路见图:D0=D3=D5=D7=1D1=D2=D4=D6=0S0仿真仿真 7/12/202485 例试用八选一电路实现三变量多数表决电路。表3-11例3-6的真值表A B CF0 0 000 0 100 1 000 1 111 0 001 0 111 1 011 1 11 解:假设三变量为A、B、C,表决结果为F,则真值表如表3-11所示。7/12/202486在八选一电路中,将A、B、C从A2、A1、A0输入,令D3=D5=D6=D7=1D0=D1=D2=D4=0S0FY则可实现三变量多数表决电路,具体电路图请读者自行画出。则7/12/202487思考:若用8选1实现4变量的函数,或者用4选1实现3变量的函数,即地址输入端的个数比变量个数小1,如何实现?如:A B CF0 0 010 0 100 1 000 1 111 0 001 0 111 1 001 1 11输入输出S A1 A0Y0 01 0 0D01 0 1D11 1 0D21 1 1D37/12/202488确定数据选择器确定数据选择器确定地址变量确定地址变量 2 1 n个地址变量的数据选择器,不需要增加门电路,最多可实现n1个变量的函数。3个变量,选用4选1数据选择器。A A1 1=A=A、A A0 0=B=B逻辑函数逻辑函数 1 选用选用74HC15374HC153 2 74HC153有两个地址变量。7/12/202489求求D Di i 3 (1 1)公式法)公式法函数的标准与或表达式:4选1数据选择器输出信号的表达式:比较L和Y,得:3 7/12/202490画连线图画连线图447/12/2024914.5 4.5 加法器加法器输入输出ABSCO0000011010101101算术运算是数字系统的基本功能,更是计算机中不可缺少的组成单元。本节介绍实现加法运算的逻辑电路。4.5.1 半加器半加器7/12/202492全加器的真值表S CO0 0 00 00 0 11 00 1 01 00 1 10 11 0 01 01 0 10 11 1 00 11 1 11 1A B CI全加器能把本位两个加数A、B 和来自低位的进位CI三者相加,得到求和结果S 和该位的进位信号CO。4.5.2 全加器全加器7/12/202493S CO0 0 00 00 0 11 00 1 01 00 1 10 11 0 01 01 0 10 11 1 00 11 1 11 1A B CI由真值表写最小项之和式,再稍加变换得:7/12/202494全加器(a)电路图(b)逻辑符号由表达式得逻辑图:仿真仿真 7/12/2024954.5.3多位加法器多位加法器全加器可以实现两个一位二进制数的相加,要实现多位二进制数的相加,可选用多位加法器电路。1.串行进位加法器优点:简单。缺点:速度慢。7/12/202496CI是低位的进位,CO是向高位的进位,A3A2A1A0和B3B2B1B0是两个二进制待加数,S3、S2、S1、S0是对应各位的和。74LS283电路是一个四位加法器电路,可实现两个四位二进制数的相加。2.超前进位加法器优点:速度快,每1位的和及最后的进位基本同时产生。缺点:电路复杂。7/12/202497多位加法器除了可以实现加法运算功能之外,还可以实现组合逻辑电路。图3-24 由74LS283构成的代码转换电路8421BCD码0011余3码例:将8421BCD码转换成余3码。余3码8421BCD码3(即0011)仿真仿真 7/12/2024984.64.6 数值比较器数值比较器数值比较器:能够比较数字大小的电路。1.两个一位数A和B相比较的情况:(1)AB:只有当A=1、B=0时,AB才为真;(2)AB:只有当A=0、B=1时,AB才为真;(3)A=B:只有当A=B=0或A=B=1时,A=B才为真。ABYABYAB)是用是用Y(AB)仅仅是一个控制信号。仅仅是一个控制信号。C7C4C5C6D7D4D5D6C0C1C2C3D0D1D2D3Y(AB)0 0A2A1B0A0B1B2B3A3I(AB)I(AB)Y(AB)I(AB)Y(AB)Y(A=B)用两片用两片用两片用两片CC14585 CC14585 组成一个组成一个组成一个组成一个8 8位数值比较器位数值比较器位数值比较器位数值比较器1 17/12/2024104在在CMOS集成比较器的级联中,集成比较器的级联中,最低位的最低位的I(AB)输入端只是为了便于理解,应接高电平输入端只是为了便于理解,应接高电平1。级联中级联中级联中级联中CMOSCMOS比较器与比较器与比较器与比较器与TTLTTL比较器的区别:比较器的区别:比较器的区别:比较器的区别:在在TTL集成比较器的级联中,集成比较器的级联中,高位中的三个扩展端高位中的三个扩展端 I(AB)和和I(A=B),应分别与低位中的应分别与低位中的Y(AB)和和Y(A=B)连接,连接,最低位的最低位的I(A=B)应接应接1,I(AB)端应接端应接0。7/12/2024105型号名称主要功能74LS14710线-4线优先编码器74LS1488线-3线优先编码器74LS1498线-8线优先编码器74LS424线-10线译码器BCD输入74LS1544线-16线译码器74LS46七段显示译码器BCD输入、开路输出74LS47七段显示译码器BCD输入、开路输出74LS48七段显示译码器BCD输入、带上拉电阻74LS49七段显示译码器BCD输入、OC输出74LS15016选1数据选择器反码输出74LS1518选1数据选择器原、反码输出74LS153双4选1数据选择器74LS2518选1数据选择器原、反码输出,三态74LS854位数值比较器74LS8668位数值比较器3.部分常用的MSI组合逻辑电路的型号、名称和主要功能表7/12/2024106型号名称主要功能CC4014710线-4线优先编码器BCD输出CC45328线-3线优先编码器CC4555双2线-4线译码器CC45144线-16线译码器有地址锁存CC4511七段显示译码器锁存输出、BCD输入CC4055七段显示译码器BCD输入、驱动液晶显示器CC4056七段显示译码器BCD输入、有选通、锁存CC4519四2选1数据选择器CC45128路数据选择器CC40634位数值比较器CC4014710线-4线优先编码器BCD输出7/12/2024107 4.7 4.7 组合逻辑电路中的竞争冒险现象组合逻辑电路中的竞争冒险现象 在组合电路中,当输入信号的状态在组合电路中,当输入信号的状态改变时,输出端可能会出现不正常的干扰改变时,输出端可能会出现不正常的干扰 信号,使电路产生错误的输出,这种现象称信号,使电路产生错误的输出,这种现象称为为 竞争冒险竞争冒险。一一.竞争冒险的概念竞争冒险的概念7/12/2024108原因:主要是门电路的延迟时间产生的。原因:主要是门电路的延迟时间产生的。干扰信号干扰信号 二二.产生竞争冒险的原因产生竞争冒险的原因7/12/2024109三三.检查竞争冒险的方法检查竞争冒险的方法只要输出端的逻辑函数在一定条件下能简化成只要输出端的逻辑函数在一定条件下能简化成或或则可出现竞争冒险现象。则可出现竞争冒险现象。7/12/2024110当当B=C=1时,时,YA+A存在竞争冒险存在竞争冒险当当A=C=0时时存在竞争冒险存在竞争冒险图图(a)图图(b)7/12/20241114.7.1 消除竞争-冒险现象的方法一、接入滤波电容尖峰脉冲很窄,用很小的电容就可将尖峰削弱到 VTH 以下。二、引入选通脉冲取选通脉冲作用时间,在电路达到稳定之后,P的高电平期的输出信号不会出现尖峰。7/12/2024112三、修改逻辑设计例:7/12/2024113本章小结本章小结 4 4.8 8 MSI MSI组合逻辑电路的分析组合逻辑电路的分析结束结束结束结束放映放映放映放映4.8.1 分析步骤分析步骤4.8.2 分析举例分析举例7/12/2024114复习复习十六选一的数据选择器应有怎样的输入、输出、选择、控制端?如何用两片八选一数据选择器构成十六选一数据选择器?如何利用八选一数据选择器实现四变量组合逻辑函数?7/12/20241154.84.8 MSI MSI组合逻辑电路的分析组合逻辑电路的分析 MSI组合逻辑电路的分析:以中规模集成器件为核心的组合逻辑电路的分析。本节将MSI电路按功能块进行划分,逐块分析各功能块电路,最后得出整个电路功能的分析方法,这种方法称为功能块级的电路分析,适用于更加复杂的逻辑电路分析。7/12/20241164.8.1 分析步骤分析步骤功能块组合逻辑电路分析流程图 分析步骤(1)划分功能块(2)分析功能块的逻辑功能(3)分析整体逻辑电路的功能逻辑电路图划分功能块分析各块功能分析整体功能7/12/2024117 (1)划分功能块首先根据电路的复杂程度和器件类型,视情形将电路划分为一个或多个逻辑功能块。功能块内部,可以是单片或多片MSI或SSI以及扩展组合的电路。分成几个功能块和怎样划分功能块,这取决于对常用功能电路的熟悉程度和经验。画出功能块电路框图有助于进一步的分析。7/12/2024118(2)分析功能块的逻辑功能利用前面学过的常用功能电路的知识,分析各功能块逻辑功能。如有必要,可写出每个功能块的逻辑表达式或逻辑功能表。7/12/2024119(3)分析整体逻辑电路的功能在对各功能块电路分析的基础上,最后对整个电路进行整体功能的分析。如有必要,可以写出输入与输出的逻辑函数式,或列出功能表。应该注意,即使电路只有一个功能块,整体电路的逻辑功能也不一定是这个功能块原来的逻辑功能。7/12/2024120例1、下图是由双4选1数据选择器74LS153和门电路组成的组合逻辑电路。试分析输出Z与输入X3、X2、X1、X0之间的逻辑关系。4.8.2 分析举例分析举例电路图7/12/2024121(1)划分功能块本题只有一块MSI电路,可以只划分一个功能块。(2)分析功能块的功能通过查74LS153的功能表,知道它是一块双4选1数据选择器。其中:A1、A0是地址输入端,Y是输出端;74LS153的控制输入端为低电平有效;数据选择器处于禁止状态时,输出为0。解:7/12/2024122 下图中电路的输出端是Z,Z=1Y+2Y;输入端为X3、X2、X1、X0。当X31时,2S1、1S0,数据选择器2处于禁止状态,而数据选择器1处于工作状态;当X30时,数据选择器1处于禁止状态,数据选择器2处于工作状态。7/12/20241238选1功能框图显然,电路构成了一个8选1数据选择器,其输出为Z,地址输入端为X3、X1、X0。原电路可用下图的功能框图来表示。7/12/2024124 (3)分析整体电路的逻辑功能 把电路看成一个8选1数据选择器,可得出其的功能表。功能表X3X2X1X0Z011000110011101001011011000110101110011110分析电路的功能表,当X3X2X1X0为8421BCD码00001001时,电路的输出为1,否则输出为0。可见该电路可实现检测8421BCD码的逻辑功能。7/12/2024125 例2、下图电路由4位二进制超前进位全加器74LS283、数值比较器74LS85、七段显示译码器74LS47及LED数码管组成的电路,请分析该电路的逻辑功能。7/12/20241267/12/2024127解:(1)划分功能块电路可分成三个功能块:加法运算及比较电路,译码电路,显示电路。(2)分析各功能块的逻辑功能4位加法器74LS283 S3S2S1S0是A3A2A1A0与B3B2B1B0的和,当1010时,比较电路输出YAB=1。7/12/2024128 74LS47七段显示译码器的输出选中时为低电平,可以直接驱动共阳型LED数码管。LT、RBI和BI/RBO是辅助控制信号。LT是试灯输入,工作时应使LT1;RBI是灭零输入;BI是熄灭信号输入,RBO是灭零输出,BI和RBO在芯片内部是连在一起的。7/12/2024129当LT1,RBI=BI/RBO=1,数码管正常显示09BI0时数码管熄灭RBI0且LT=1时,数码管灭0LT=0时,数码管全亮7/12/2024130 显示电路由共阳型七段LED数码管构成,可显示十进制数09,R是限流电阻。电路中LT=1,而BI/RBO=RBI受控于YAB,当BI/RBO=RBI=1时,正常显示;当BI/RBO=RBI=0时,数码管熄灭。7/12/2024131 (3)分析整个电路的逻辑功能 电路可以实现一位十进制数的加法运算,并由数码管显示相加的结果。当相加的结果大于9(即二进制1001)时,数码管不显示,处于灭灯状态。7/12/2024132例3电路例3、下图是3-8线译码器74LS138和8选1数据选择器74LS151组成的电路,试分析电路的逻辑功能。仿真仿真 7/12/2024133解:(1)划分功能块 电路可划分为两个功能块:3-8线译码器74LS138,8选1数据选择器74LS151。(2)分析功能块的逻辑功能 3-8线译码器74LS138和8选1数据选择器74LS151的逻辑功能,这里不再重述。7/12/2024134(3)分析整体电路的逻辑功能 D0D7和Y0Y7 对应相连,b2b1b0a2a1a0时,L0;否则,L1。该电路实现了两个3位二进制数的“相同”比较功能。7/12/2024135本章小结本章小结 组合逻辑电路是一种应用很广的逻辑电路。本章介绍了组合逻辑电路的分析和设计方法,还介绍了几种常用的中规模(MSI)组合逻辑电路器件。本章总结出了采用集成门电路构成组合逻辑电路的分析和设计的一般方法,只要掌握这些方法,就可以分析任何一种给定电路的功能,也可以根据给定的功能要求设计出相应的组合逻辑电路。7/12/2024136本章介绍了编码器、译码器、数据选择器、加法器和数值比较器等MSI组合逻辑电路器件的功能,并讨论了利用译码器、数据选择器和加法器实现组合逻辑函数的方法。对于MSI组合逻辑电路,主要应熟悉电路的逻辑功能。了解其内部电路只是帮助理解器件的逻辑功能。只有熟悉MSI组合逻辑电路的功能,才能正确应用好电路。本章通过举例,介绍了基于功能块的MSI组合逻辑电路的分析方法。熟悉这种方法,对MSI组合逻辑电路的分析很有帮助。7/12/2024137
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!