《微型计算机原理与接口技术》第1章微型计算机基础概论

上传人:san****019 文档编号:22716455 上传时间:2021-05-30 格式:PPT 页数:83 大小:510KB
返回 下载 相关 举报
《微型计算机原理与接口技术》第1章微型计算机基础概论_第1页
第1页 / 共83页
《微型计算机原理与接口技术》第1章微型计算机基础概论_第2页
第2页 / 共83页
《微型计算机原理与接口技术》第1章微型计算机基础概论_第3页
第3页 / 共83页
点击查看更多>>
资源描述
微型计算机原理与接口技术课程性质:专业基础课学时:60+12(上课60+4个实验)学分:5学分考核方式:考试 第 1章 微 型 计 算 机 基 础 概 论 1.1 微 型 计 算 机 系 统 1.2 计 算 机 中 的 数 制 及 编 码 1.3 无 符 号 二 进 制 数 的 算 术 运 算 和 逻 辑 运 算 1.4 有 符 号 二 进 制 数 的 表 示 及 运 算 第 1章 微 型 计 算 机 基 础 概 论主 要 内 容 :l微 机 系 统 ( 发 展 、 组 成 、 各 部 分 功 能 等 ) ;l各 种 常 用 记 数 制 和 编 码 以 及 它 们 相 互 间 的 转 换 ;l二 进 制 数 的 算 术 运 算 和 逻 辑 运 算 ;l符 号 数 的 表 示 及 补 码 运 算 ;l二 进 制 数 运 算 中 的 溢 出 问 题 1.1 微 型 计 算 机 系 统l 电 子 计 算 机 的 发 展 :l 电 子 管 计 算 机 ( 1946-1956)l 晶 体 管 计 算 机 ( 1957-1964)l 中 小 规 模 集 成 电 路 计 算 机 ( 1965-1970)l 超 大 规 模 集 成 电 路 计 算 机 ( 1971-今 )l 电 子 计 算 机 按 其 性 能 分 类 :l 大 中 型 计 算 机 /巨 型 计 算 机 ( Mainframe Computer) l 小 型 计 算 机 ( Minicomputer)l 微 型 计 算 机 ( Microcomputer)l 单 片 计 算 机 ( Single-Chip Microcomputer)l 微 型 计 算 机 的 核 心 : 微 处 理 器 (中 央 处 理 器 CPU) Intel CPU的 发 展 大 致 可 分 为 :1、 第 一 代 微 处 理 器 世 界 上 的 第 一 片 微 处 理 器 是 Intel公 司 生 产 的 4004, 于 1971年研 制 成 功 , 是 一 个 4位 的 处 理 器 。 它 可 进 行 4位 二 进 制 的 并 行 运 算 ,拥 有 45条 指 令 , 速 度 为 0.05MIPS。 它 主 要 用 于 计 算 器 , 电 动 打 字机 , 照 相 机 , 台 称 及 电 视 机 等 家 用 电 器 。 1971年 末 , Intel公 司 又 推 出 了 8位 扩 展 型 微 处 理 器 8008, 它 与4004相 比 , 可 一 次 处 理 8位 二 进 制 数 据 , 其 寻 址 空 间 扩 大 为 16KB。特 点 : 指 令 系 统 比 较 简 单 , 运 算 能 力 较 弱 , 速 度 也 比 较 低 。2、 第 二 代 8位 微 处 理 器 1973年 , Intel公 司 在 8008的 基 础 上 推 出 了 另 一 种 8位 微 处 理 器8080, 它 的 寻 址 空 间 增 加 到 64KB, 速 度 达 到 0.5MIPS, 比 8008快10倍 。 另 外 它 使 CPU外 部 电 路 的 设 计 变 得 更 加 容 易 且 成 材 降 低 。 3、 第 三 代 16位 微 处 理 器 由 于 超 大 规 模 集 成 电 路 的 发 展 , 1978年 , Intel公 司 推 出 了 16位微 处 理 器 8086, 并 在 一 年 多 又 推 出 了 准 16位 微 处 理 器 8088。 16位 微 处 理 器 与 8位 微 处 理 器 功 能 大 大 增 强 , 主 要 表 现 在 以 下 : 数 据 总 线 的 位 数 由 8位 增 加 到 16位 , 提 高 了 数 据 处 理 能 力 。 地 址 总 线 的 位 数 增 加 到 20位 以 上 , 增 强 了 存 储 器 的 寻 址 能 力 。 系 统 的 运 算 速 度 提 高 , 基 本 指 令 执 行 时 间 约 0.15微 秒 。 增 加 了 4字 节 或 6字 节 指 令 高 速 缓 冲 器 , 使 指 令 的 存 取 的 执 行并 行 进 行 , 处 理 速 度 快 。 CPU内 部 的 通 用 寄 存 器 增 多 , 减 少 了 对 内 存 的 访 问 频 度 。 扩 充 了 指 令 系 统 。 可 处 理 多 种 数 据 类 型 。 有 二 进 制 , 压 缩 BCD码 , 字 节 , 字 等 。 中 断 功 能 增 强 具 有 构 成 多 微 处 理 器 系 统 的 能 力 。 配 备 较 强 的 系 统 软 件 。 4、 第 四 代 32位 微 处 理 器 1985年 , Intel公 司 推 出 了 第 四 代 微 处 理 器 80386, 它 具 有 32位数 据 线 和 32位 地 址 线 , 存 储 器 直 接 寻 址 能 力 可 达 4GB。 其 执 行 速 度达 到 34MIPS。 随 着 集 成 电 路 工 艺 水 平 的 进 一 步 提 高 , 1989年 , Intel公 司 又 推 出性 能 更 高 的 32位 微 处 理 器 80486。5、 第 五 代 32位 微 处 理 器 1993年 , Intel公 司 推 出 了 32位 微 处 理 器 Pentium(P5),它 集 成 了330万 个 晶 体 管 , 内 部 采 用 4级 起 标 量 结 构 , 数 据 线 64位 ,地 址 线 36位 。 处 理 速 度 达 110MIPS。6、 第 六 代 微 处 理 器 1996年 , Intel公 司 又 推 出 了 Pentium Pro微 处 理 器 , 它 的 运 算 速 度 达 到 200MIPS, 它 内 部 集 成 了 16KB的 一 级 高 速 缓 存 器 和 256的二 级 高 速 缓 存 器 , 使 用 三 个 执 行 部 件 , 可 同 时 执 行 三 条 指 令 。 7、 双 核 微 处 理 器 从 20世 纪 90年 代 末 , 多 核 技 术 开 始 研 发 并 很 快得 到 发 展 和 普 及 。 2006年 , Intel公 司 推 出 了 个 人计 算 机 的 “ 双 核 ” 处 理 器 Core2, 也 就 是 将 两 个 功能 相 同 的 计 算 内 核 集 成 在 一 个 处 理 器 中 , 使 处 理器 每 个 时 钟 周 期 内 执 行 能 力 增 加 了 一 倍 , 从 而 提高 了 计 算 能 力 。 代 发 表年 份 字 长(bits) 型 号 线 宽(m) 晶 体 管 数(万 个 ) 时 钟 频 率(MHz) 速 度(MIPS)一 19711972 48 40048008 50 0.20.3 1 0.05二 1974 8 8080 20 0.5 2-4 0.5三 19781982 16 8086/808880286 2-3 2.913 4.77-108-20 300七 2002? 64 Itanium ? 0.08 CPU:2.5KCache:30K 800(20条 指 令 /时 钟 周 期 ) 3000 Intel主 要 CPU发 展 表 1.1.2微 型 计 算 机 的 工 作 过 程1 .冯 诺 依 曼 的 计 算 机 计 算 机 的 工 作 过 程 就 是 执 行 程 序 的 过 程 , 而 程 序 则是 指 令 的 集 合 。 每 台 计 算 机 都 拥 有 各 种 类 型 的 机 器 指 令 ,这 些 指 令 按 照 一 定 的 规 则 存 放 在 存 储 器 中 , 在 中 央 控 制系 统 的 统 一 控 制 下 , 按 一 定 顺 序 依 次 取 出 执 行 , 这 就 是冯 诺 依 曼 的 计 算 机 的 核 心 原 理 。 -存 储 程 序 的 工作 原 理 。 存 储 程 序 就 是 指 把 程 序 和 数 据 送 到 具 有 记 忆 功 能 的存 储 器 中 保 存 起 来 , 计 算 机 工 作 时 只 要 给 程 序 中 第 一 条指 令 的 地 址 , 控 制 器 就 依 据 存 储 器 中 的 指 令 顺 序 地 , 周而 复 始 地 取 出 指 令 , 执 行 指 令 , 直 到 执 行 完 全 部 指 令 为止 。 冯 诺 依 曼 的 计 算 机 的 主 要 特 点 :1.将 计 算 过 程 描 述 为 由 许 多 条 指 令 按 一 定 顺 序 组 成的 程 序 , 并 放 入 存 储 器 保 存 ;2.程 序 中 的 指 令 和 数 据 必 须 采 用 二 进 制 编 码 , 且 能够 被 执 行 该 程 序 的 计 算 机 所 识 别 ;3.指 令 按 其 在 存 储 器 中 存 放 的 顺 序 执 被 行 , 存 储 器的 字 长 固 定 并 按 顺 序 线 性 编 址 ;4.由 控 制 器 控 制 整 个 程 序 和 数 据 的 存 取 以 及 程 序 的执 行 ;5.以 运 算 器 为 核 心 , 所 有 的 执 行 都 经 过 运 算 器 。 冯 诺 依 曼 计 算 机 结 构运 算 器输 入 设 备 输 出 设 备存 储 器控 制 器 2 、 微 型 计 算 机 的 工 作 过 程 微 机 的 工 作 过 程 就 是 执 行 程 序 的 过 程 。 由 于 每 条 指 令 的 执 行 ,都 包 括 指 令 取 和 执 行 指 令 两 个 基 本 阶 段 , 所 以 , 微 机 的 工 作 过 程就 是 不 断 取 指 令 和 执 行 指 令 的 过 程 。 假 定 程 序 已 由 输 入 设 备 存 放 到 内 存 中 。 当 计 算 机 要 从 停 机 状 态进 入 到 运 行 状 态 时 : 首 先 将 第 一 条 指 令 由 内 存 中 取 出 ; 将 取 出 的 指 令 送 指 令 译 码 器 译 码 , 以 确 定 要 进 行 的 操 作 ; 读 取 相 应 的 操 作 数 ; 执 行 指 令 ; 存 放 执 行 结 果 ; 一 条 指 令 执 行 完 后 , 转 入 下 一 条 的 取 指 令 阶 段 。 如 此 周 而 复 始 地循 环 , 直 到 程 序 中 遇 到 暂 停 指 令 才 结 束 。 结 束开 始程 序 指 令 1指 令 n指 令 2: 取 出 指 令指 令 译 码执 行 操 作读 出 操 作 数( 地 址 码 )指 令 周 期 取指 执行指令操 作 码 操 作 数( 地 址 码 )指 令 格 式程 序 执 行 过 程 示 意 图 取 第 一 条 指 令 的 过 程 为 : 指 令 所 在 的 地 址 赋 给 程 序 计 数 器 PC并 送 到 地 址 寄 存 器 PC自 动 加 1, AR( 地 址 寄 存 器 ) 的 内 容 不 变 。 把 地 址 寄 存 器 AR的 内 容 放 在 地 址 总 线 上 , 并 送 至 内 存储 器 , 经 地 址 译 码 器 , 选 中 相 应 的 单 元 。 CPU的 控 制 器 发 出 读 命 令 。 在 读 命 令 的 控 制 下 , 把 选 中 的 单 元 内 容 读 到 数 据 总 线DB 把 读 出 的 内 容 经 数 据 总 线 送 到 数 据 寄 存 器 DR 取 指 阶 段 的 最 后 一 步 是 指 令 译 码 。 0000 00000000 0000 1011 0000 0000 01010000 01000000 100000 01000204 1111 010003PCAR DRDBAB RA IDIRPLA10110001011000ALUA01I 2I+1 取 指控 制 执 指控 制内 存 储 器 内 存 中 的 指 令读 取 一 条 指 令 操 作 的 过 程读 1.1.3微 机 系 统 的 构 成 CPU 存 储 器 主 机 输 入 /输 出 接 口 硬 件 系 统 总 线 外 设微 机 系 统 系 统 软 件 软 件 系 统 应 用 软 件 寄 存 器 组控 制 器运 算 器 1. 主 机 硬 件 系 统1) 微 处 理 器 CPU 微 处 理 器 简 称 CPU, 是 计 算 机 的 核 心 , 主 要包 括 :运 算 器 : 它 的 核 心 部 件 是 算 术 逻 辑 单 元 , 以 加 法 器 为主 , 辅 以 移 位 寄 存 器 及 相 应 控 制 逻 辑 组 合 成 的 电 路 ,在 控 制 信 号 的 作 用 下 可 完 成 加 , 减 , 乘 , 除 和 各 种 逻辑 运 算 。 控 制 器 : 从 存 储 器 中 依 次 取 出 程 序 的 各 条 指 令 , 并 根据 指 令 的 要 求 , 向 微 机 的 各 个 部 件 发 出 相 应 的 控 制 信号 , 使 各 部 件 协 调 工 作 , 从 而 实 现 对 整 个 微 机 系 统 的控 制 。寄 存 器 组 : 实 际 是 CPU内 部 的 若 干 个 存 储 单 元 , 它 分为 专 用 寄 存 器 和 通 用 寄 存 器 。 指 令 寄 存器 IR指 令 译 码ID操 作 控 制器 OC 通 用 寄 存器 寄 存 器堆 栈 指 针SP程 序 计 数器 PC寄存器组 累 加 器ACC累 加 锁 存器 暂 存器 标 志 寄 存器地 址 缓 冲 器 数 据 缓 冲 器控 制 总 线 ( CB)操 作 控制 信 号地 址 总 线 ( AB) 数 据 总 线 ( DB)存 储 器 外 设 接 口 ( I/O)ALU控制器 运算器微 处 理 器 典 型 结 构 图 2) 存 储 器 ( 内 存 或 主 存 )定 义 : 用 于 存 放 计 算 机 工 作 过 程 中 需 要 操 作 的 数 据 和 程 序 ,内 存 均 由 半 导 体 材 料 制 成 , 也 称 半 导 体 存 储 器 。 内 存 单 元 的 地 址 和 内 容 内 存 由 许 多 单 元 组 成 , 每 个 单 元 可 存 放 一 组 二 进 制 码 。每 个 内 存 单 元 规 定 放 8位 二 进 制 数 ( 一 个 字 节 ) , 一 台微 机 中 内 存 单 元 的 总 数 为 该 微 机 的 内 存 容 量 。内 存 单 元 的 地 址 : 每 个 存 储 单 元 编 上 不 同 的 号 码 的 编 码 。内 存 单 元 的 内 容 : 内 存 单 元 中 存 放 的 信 息 。2024 内 存 单 元 的 地 址 和 内 容 每 个 单 元 都 对 应 一 个 地 址 , 以 实 现 对 单 元内 容 的 寻 址 。 1011011038F04H内存地址单元内容 内 存 容 量 :内 存 所 含 存 储 单 元 的 个 数 , 以 字 节 (8位 二 进 制 数 ) 为 单 位 。内 存 容 量 的 大 小 依 CPU的 寻 址 范 围 而 定 ( 即 CPU地 址 信 号线 的 位 数 ) 。例 如 : 个 内 存 单 元 , 它 的 内 存 容 量 为 4MB。2024 内 存 操 作 :读 : 将 内 存 单 元 的 内 容 取 入 CPU, 原 单 元 内 容 不 改 变 ;写 : CPU将 信 息 放 入 内 存 单 元 , 单 元 中 原 来 的 内 容 被 覆 盖 。 CPU读 出 地 址 为 04H内 存 单 元 中 的 内 容 :地址译码器 1001011100000100 00H04HFFH地 址AB 控 制 DB10010111 :读 CPU把 地 址 04H放 到 地 址 总 线 上 , 经 地 址 译 码 器 选 中04H单 元 ; CPU发 出 “ 读 ” 控 制 信 号 ; 存 储 器 04H号 单 元 中 的 内 容 97H被 读 出 并 送 到 数 据 总线 上 。注 : 读 操 作 完 成 后 , 04H单 元 中 的 内 容 97H仍 保持 不 变 。 这 一 特 点 称 为 非 破 坏 性 读 出 。 CPU把 数 据 00100110B写 入 地 址 为 08H的 存 储 单 元 中 :地址译码器 0010011000001000 00H08HFFH地 址AB 控 制 DB00100110 :写 CPU把 存 储 单 元 地 址 08H放 到 地 址 总 线 上 , 经 地址 译 码 器 选 中 08H单 元 ; CPU把 要 写 入 的 内 容 26H放 到 数 据 总 线 上 ; CPU向 存 储 器 发 送 “ 写 ” 控 制 信 号 , 在 该 信 号 的控 制 下 , 数 据 26H写 入 存 储 器 的 08H单 元 中 。注 : 写 操 作 完 成 后 , 08H单 元 中 的 内 容 由 新 内容 26H代 替 了 原 来 内 容 。 原 内 容 被 清 除 。 内 存 储 器 的 分 类 随 机 存 取 存 储 器 ( RAM) 按 工 作 方 用 于 存 放 用 户 装 入 的 程 序 , 数 据 等 式 可 分 为 只 读 存 储 器 ( ROM) 用 于 存 放 监 控 程 序 和 基 本 输 入 输 出 程 序 , 和 常 用 数 据 , 表 格 等 3) 输 入 /输 出 接 口 接 口 是 CPU与 外 部 设 备 间 的 桥 梁 , I/O系 统是 微 型 计 算 机 系 统 的 重 要 组 成 部 分 。 常 用 的 输入 设 备 有 键 盘 , 鼠 标 , 扫 描 仪 等 。 常 用 的 输 出设 备 有 显 示 器 , 打 印 机 , 绘 图 仪 等 。CPU I/O接 口 外 设 接 口 的 分 类 : 串 行 接 口 并 行 接 口 按 数 据 传 送类 型 分按 数 据 传 送方 向 分 输 入 接 口 输 出 接 口 并 行 接 口 的 应 用 : 距 离 短 、 高 速( 高 速 打 印 机 、 网 络 打 印 机 、 图 象 扫 描 仪 、硬 盘 ) 串 行 接 口 的 应 用 : 距 离 长 、 慢 速 常 , 用 通信 线 路 传 送 。( 电 传 打 字 机 、 有 线 传 真 机 、 终 端 CRT) 4) 总 线定 义 : 公 共 信 号 线 集 合 , 用 于 微 机 系 统 各 部 件 间的 信 息 传 递 。分 类 内 部 总 线 : 用 于 主 机 系 统 内 部 信 息 传 递 的 总 线外 部 总 线 : 连 接 主 机 和 外 设 的 总 线 数 据 总 线 DB 它 用 来 传 输 数 据 信 息 , 是 双 向 总 线 , CPU可 以 通 过DB从 内 存 或 输 入 设 备 输 入 数 据 , 也 可 以 通 过 DB将 内部 数 据 送 到 内 存 或 输 出 设 备 从 信 息 传 送 类 型 上 , 这 两 类 总 线 都 包 括 数 据 总 线 , 地址 总 线 和 控 制 总 线 地 址 总 线 AB 它 用 来 传 输 CPU发 出 的 地 址 信 息 , 是 单 向 总 线 ,传 送 地 址 信 息 的 目 的 是 指 明 与 CPU交 换 信 息 的 内 存单 元 或 I/O设 备 。 控 制 总 线 CB 它 用 来 传 送 控 制 信 号 , 时 序 信 号 和 状 态 信 息 。 有的 是 CPU向 外 设 和 内 存 发 出 的 信 息 , 有 的 是 内 存 或外 设 向 CPU发 出 的 信 息 。 CB作 为 一 个 整 体 是 双 向 的 。 2. 软 件 系 统 软 件 : 为 运 行 、 管 理 和 维 护 计 算 机 系 统或 为 实 现 某 一 功 能 而 编 写 的 各 种 程 序 的总 和 及 其 相 关 资 料 。系统软件应用软件操作系统编译系统网络系统工具软件软件 1.2 计 算 机 中 的 数 制 及 编 码l了 解 : 各 种 计 数 制 的 特 点 及 表 示 方 法 ;l掌 握 : 各 种 计 数 制 之 间 的 相 互 转 换 。 1.2.1、 常 用 记 数 制 l十 进 制 符 合 人 们 的 习 惯l二 进 制 便 于 物 理 实 现l十 六 进 制 便 于 识 别 、 书 写l八 进 制 ( 已 很 少 使 用 , 略 去 )l 注 意 : 它 们 的 数 码 、 基 数 、 权 及 进 位 规 则 的 不 同 。 1. 十 进 制特 点 : 以 十 为 底 , 逢 十 进 一 ; 共 有 0-9十 个 数 字 符 号 。表 示 : 1 2 01 2 0111 10 10 1010 1010 n nn n mmn iii mD D D DD DD 2. 二 进 制特 点 : 以 2为 底 , 逢 2进 位 ; 只 有 0和 1两 个 符 号 。表 示 : 1 2 02 n 1 2 0111( ) 2 2 22 22n nn mmn iii mB B B BB BB 3. 十 六 进 制特 点 : 以 16为 底 , 逢 16进 位 ; 有 0-9及 A-F共 16个 数 字 符 号 。表 示 : 1 2 01 n 2 0 111( ) 16 16 1616 161616 n nn mmn iii mH H H HH HH 进 位 计 数 制 的 一 般 表 示一 般 地 , 对 任 意 一 个 K进 制 数 S都 可 表 示 为1 2 0n 1 2 0111( ) n nk n mmn iii mS S K S K S KS K S KS K其 中 : Si - S的 第 i位 数 码 , 可 以 是 K个 符 号 中 任 何 一 个 ; n,m 整 数 和 小 数 的 位 数 ; K - 基 数 ; Ki - K进 制 数 的 权 如 何 区 分 不 同 进 位 记 数 制 的 数 字在 数 字 后 面 加 一 个 字 母 进 行 区 分 :l 二 进 制 : 数 字 后 面 加 B, 如 1001Bl 十 进 制 : 一 般 不 加 , 也 可 加 D, 如 1001l 十 六 进 制 : 数 字 后 面 加 H , 如 1001Hl 八 进 制 : 数 字 后 面 加 O, 如 1001Ol 在 明 显 可 以 区 分 其 记 数 制 的 情 况 下 , 可 以 省 略数 字 后 面 的 字 母 1.2.2、 各 种 数 制 间 的 转 换1. 非 十 进 制 数 到 十 进 制 数 的 转 换 按 相 应 进 位 计 数 制 的 权 表 达 式 展 开 ,再 按 十 进 制 求 和 。 例 : 1101.101 B = (?)10 参 见 P13 64.C H = (?)10 参 见 P13 2. 十 进 制 到 非 十 进 制 数 的 转 换l 十 进 制 二 进 制 的 转 换 : 112.25 = (?) B 参 见 P13 整 数 部 分 : 除 2取 余 ; 小 数 部 分 : 乘 2取 整 。l 十 进 制 十 六 进 制 的 转 换 : 301.6875=(?)H 参 见 P14 整 数 部 分 : 除 16取 余 ; 小 数 部 分 : 乘 16取 整 。注 意 : 以 小 数 点 为 起 点 求 得 整 数 和 小 数 的 各 个 位 。 3. 二 进 制 与 十 六 进 制 间 的 转 换l24=161 4位 二 进 制 数 表 示 1位 十 六 进 制 数 例 : 10110001001.110B = (?)H 0101 1000 1001.1100 5 8 9 . C 注 意 : 位 数 不 够 时 要 补 0 1.2.3、 计 算 机 中 的 二 进 制 数 表 示1.定 点 小 数 的 表 示定 点 小 数 : 小 数 点 准 确 固 定 在 数 据 某 个 位 置 上 的 小 数 。 为 了 方 便 , 通 常 小 数 点 固 定 在 最 高 数 据 位 的 左 边 ,为 纯 小 数 。 由 于 规 定 了 小 数 点 放 在 数 值 部 分 的 最 左 边 ,所 以 小 数 点 不 需 要 明 确 表 示 。 定 点 小 数 表 示 方 法 主 要用 在 早 期 计 算 机 中 。2.整 数 的 表 示 整 数 可 以 看 作 是 小 数 点 定 在 数 据 的 最 低 位 右 边 的 一种 数 据 。 在 计 算 机 系 统 中 , 常 用 几 种 不 同 的 二 进 制 位数 表 示 一 个 整 数 。 3.浮 点 数 的 表 示 浮 点 数 是 指 小 数 点 的 位 置 可 以 左 右 移 动 的 数 据 。 可 用 下 式表 示 :其 中 M: 浮 点 数 的 尾 数 或 称 有 效 数 字 , 通 常 为 纯 小 数 R: 阶 码 的 基 数 , 表 示 阶 码 采 用 的 数 制 , R为 2、 8、 16是一 常 数 。 与 尾 数 的 基 数 相 同 。 E: 阶 码 , 是 指 数 值 , 为 带 符 号 整 数 。浮 点 数 的 表 示 中 还 有 两 个 符 号 : 阶 符 , 表 示 阶 码 的 符 号 , 决 定 浮 点 数 范 围 的 大 小 : 尾 符 , 尾 数 的 符 号 位 , 安 排 在 最 高 位 , 表 示 符 号 数 的正 负 。 ss ME , sEsM MRN E ss EM , 1.2.4、 二 进 制 编 码 1.二 进 制 编 码 的 十 进 制 数 ( BCD码 ) 8421码 8421BCD码 用 4位 二 进 制 编 码 表 示 1位 十 进 制 数 , 其 4位 二 进 制 编 码 的 每 一 位 都 有 特 定 的 权 值 。 因 BCD码 表 示的 是 十 进 制 数 , 只 有 09这 十 个 有 效 数 , 4位 二 进 制 码的 6种 组 合 ( 10101111) 是 非 法 的 。 8421码 与 十 进 制 数 、 二 进 制 数 的 转 换例 1-10把 十 进 制 数 234.15写 成 BCD码 的 表 示 形 式 。( 234.15) 10=( 0010 0011 0100.0001 0101) BCD 计 算 机 中 BCD码 的 存 储 方 式 计 算 机 的 存 储 单 元 以 字 节 为 最 小 单 元 , 在 一 个 字 节中 存 放 BCD码 有 两 种 方 式 : 压 缩 的 BCD码 和 非 压 缩 的BCD码 。 压 缩 的 BCD码 是 在 一 个 字 节 中 存 放 2 个 4位 的 BCD码 。 在 采 用 压 缩 的 BCD码 表 示 十 进 制 时 , 一 个 字 节 表 示 两位 十 进 制 数 。 十 进 制 数 92用 压 缩 的 BCD码 表 示 为10010010 非 压 缩 的 BCD码 是 每 个 字 节 只 存 放 一 个 BCD码 , 低 4位为 有 效 BCD数 , 高 4位 全 为 0。 例 十 进 制 数 92, 用 非 压缩 BCD码 就 表 示 为 00001001 00000010 2.字 符 的 编 码 目 前 在 微 机 中 采 用 的 字 符 编 码 系 统 是 ASCII码 ,一 般 规 定 一 个 ASCII码 放 在 字 节 的 低 7位 , 字 节 最 高位 恒 为 0。在 ASCII码 传 送 中 , 常 用 校 验 码 为 奇 偶 校 验 法 。 1.3 无 符 号 二 进 制 数 的 运 算 算 术 运 算 无 符 号 数 逻 辑 运 算 有 符 号 数 算 术 运 算 1.3.1、 无 符 号 数 的 运 算l算 术 运 算 包 括 : 加 法 运 算 减 法 运 算 乘 法 运 算 除 法 运 算 1. 运 算 规 则l 加 法 : 0+0=0, 0+1=1, 1+0=1, 1+1=0( 有 进 位 )l 减 法 : 0-0=0,1-0=1, 1-1=0, 0-1=1( 有 借 位 ) 乘 法 : 0X0=0, 0X1=0,1X0=0,1X1=1 可 转 换 为 : 加 法 +左 移 位 除 法 : 乘 法 的 逆 运 算 , 可 转 换 为 : 减 法 +右 移 位 注 意 : 一 个 数 乘 以 2相 当 于 该 数 左 移 一 位 ; 除 以 2则 相 当 于 该 数 右 移 1位 。 1.3.2. 无 符 号 数 的 表 示 范 围 一 个 n位 的 无 符 号 二 进 制 数 X, 其 表 示 范 围 为 0 X 2n-1 (当n=8时, 00000000B11111111B 即在0255之间)若 运 算 结 果 超 出 这 个 范 围 , 则 产 生 溢 出 。( 或 者 说 运 算 结 果 超 出 n位 , 则 产 生 溢 出 )判 别 方 法 : 运 算 时 , 当 最 高 位 向 更 高 位 有 进 位 ( 或 借 位 )时 则 产 生 溢 出 。 例 : 11111111 + 00000001 1 00000000结 果 超 出 位 ( 最 高 位 有 进 位 ) , 发 生 溢出 。 ( 结 果 为 256, 超 出 位 二 进 制 数 所 能表 示 的 范 围 255) 1.3.3. 逻 辑 运 算l与 ( )、 或 ( )、 非 ( ) 、 异 或 ( )l特 点 : 按 位 运 算 , 无 进 借 位l运 算 规 则.例 : A=10110110, B=01101011求 : A B, A B, A, A B 参 见 P2122 1.3.4. 逻 辑 门逻 辑 门 : 完 成 逻 辑 运 算 的 电 路掌 握 :l与 、 或 、 非 门 逻 辑 符 号 和 逻 辑 关 系( 真 值 表 ) ;l与 非 门 、 或 非 门 的 应 用 。 与 门 ( AND Gate)Y = A B A B Y0 0 00 1 01 0 01 1 1&AB Y注 意 : 基 本 门 电 路 仅 完 成 1位 二 进 制 数 的 运 算 或 门 ( OR Gate)Y = A B A B Y0 0 00 1 11 0 11 1 1YAB 1 非 门 ( NOT Gate)1A YY = A A Y0 11 0 异 或 门 ( eXclusive OR Gate)Y = A B =AB+ABYAB A B Y0 0 00 1 11 0 11 1 0 5. 译 码 器l74LS138译 码 器 :G1G2AG2BCBA Y0Y 7 译 码 输 出译 码 输 入译 码 使 能 74LS138真 值 表 使 能 端 输 入 端 输 出 端G1 #G2A #G2B C B A #Y0 #Y1 #Y2 #Y3 #Y4 #Y5 #Y6 #Y7 0 1 1 0 1 1 0 1 0 01 0 01 0 01 0 01 0 01 0 0 1 0 01 0 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1.4 带 符 号 二 进 制 数 的 运 算l计 算 机 中 的 带 符 号 二 进 制 数l 把 二 进 制 数 的 最 高 位 定 义 为 符 号 位l 符 号 位 为 0 表 示 正 数 , 符 号 位 为 1 表 示 负 数l 连 同 符 号 位 一 起 数 值 化 了 的 数 , 称 为 机 器 数 。l 机 器 数 所 表 示 的 真 实 的 数 值 , 称 为 真 值 。( 在 以 下 讲 述 中 , 均 以 位 二 进 制 数 为 例 ) 例 : +52 = +0110100 = 0 0110100 符 号 位 数 值 位 -52 = -0110100 = 1 0110100 真 值 机 器 数 1.4.1. 符 号 数 的 表 示l对 于 符 号 数 , 机 器 数 常 用 的 表 示方 法 有 原 码 、 反 码 和 补 码 三 种 。数 X(真 值 )的 原 码 记 作 X原 , 反码 记 作 X反 , 补 码 记 作 X补 。 注 意 : 对 正 数 , 三 种 表 示 法 均 相 同 。 它 们 的 差 别 在 于 对 负 数 的 表 示 。 原 码 X原l 定 义符 号 位 : 0表 示 正 , 1表 示 负 ; 数 值 位 : 真 值 的 绝 对 值 。 nn nX XX X X 11 122 2 00 - 即 当 X为 正 数 和 零 (+0)时即 当 X为 负 数 和 零 (-0)时 原 码 的 例 子真 值X=+18=+0010010X=-18=-0010010原 码X原 =0 0010010X原 =1 0010010符 号 符 号 位n位 原 码 表 示 数 值 的 范 围 是对 应 的 原 码 是 1111 0111。(当 n=8时 , 1 1111111B0 1111111B, 即 -127127之 间 )( ) ( ) n n 1 12 1 2 1 数 0的 原 码l8位 数 0的 原 码 : +0 = 0 0000000 - 0 = 1 0000000 即 : 数 0的 原 码 不 唯 一 。 反 码 X反定 义l 若 X0 , 则 X反 =X原l 若 X0, 则 X补 = X反 = X原l若 X0, 则 X补 = X反 +1- nn nX XX X X 1 122 2 00 - 即 当 X为 正 数 和 零 (+0)时即 当 X为 负 数 和 零 (-0)时 例 :l X= 52= 0110100 X原 = 10110100 X反 = 11001011 X补 = X反 +1=11001100n位 补 码 表 示 数 值 的 范 围 是对 应 的 补 码 是 1000 0111。(当 n=8时 , 1 0000000B0 1111111B, 即 -128127之 间 ) ( ) 1 12 2 1n n 0的 补 码 :l+0补 = +0原 =00000000l-0补 = -0反 +1=11111111+1 =1 00000000 对 8位 字 长 , 进 位 被 舍 掉l +0补 = -0补 = 00000000l数 0的 补 码 是 唯 一 特 殊 数 10000000l该 数 在 原 码 中 定 义 为 : -0l在 反 码 中 定 义 为 : -127l在 补 码 中 定 义 为 : -128l对 无 符 号 数 : (10000000) = 128 8位 有 符 号 数 的 表 示 范 围 :l对 8位 二 进 制 数 :l原 码 : -127 +127l反 码 : -127 +127l补 码 : -128 +127l 想 一 想 : 16位 有 符 号 数 的 表 示 范 围 是 多 少 ? 1.4.2. 有 符 号 二 进 制 数 与 十 进 制 的 转 换对 用 补 码 表 示 的 二 进 制 数 : 1) 求 出 真 值 2) 进 行 转 换 例 :l 将 一 个 用 补 码 表 示 的 二 进 制 数 转 换 为 十 进 制 数 。 1) X补 = 0 0101110B 真 值 为 : +0101110B 正 数 所 以 : X=+46 2) X补 = 1 1010010B 负 数 X = X 补 补 = 11010010补 = - 0101110B 所 以 : X = - 46 1.4.3. 补 码 加 减 法 的 运 算 规 则l 通 过 引 进 补 码 , 可 将 减 法 运 算 转 换 为 加 法 运 算 。 规 则如 下 : X+Y补 =X补 +Y补 X-Y补 =X补 + -Y补 注 意 : 其 中 X, Y为 正 负 数 均 可 , 符 号 位 参 与 运 算 。 例 :l X=-0110100, Y=+1110100, 求 X+Y补l X原 =10110100 l X补 = X反 +1=11001100l Y补 = Y原 =01110100l 所 以 : X+Y补 = X补 + Y补 =11001100+01110100 =01000000 1.4.4. 符 号 数 运 算 中 的 溢 出 问 题l 进 (借 )位 l 在 加 法 过 程 中 , 符 号 位 向 更 高 位 产 生 进 位 ;l 在 减 法 过 程 中 , 符 号 位 向 更 高 位 产 生 借 位 。l 溢 出 l 运 算 结 果 超 出 运 算 器 所 能 表 示 的 范 围 。 对 8位 二 进 制 数 : 无 符 号 数 : 0 255 原 码 : -127 +127 有 符 号 数 反 码 : -127 +127 补 码 : -128 +127注 意 : 有 符 号 数 运 算 , 有 溢 出 表 示 结 果 是 错 误 的 无 符 号 数 运 算 , 有 进 位 表 示 结 果 是 错 误 的 溢 出 的 判 断 方 法l 方 法 :l 同 号 相 减 或 异 号 相 加 不 会 溢 出 。l 同 号 相 加 或 异 号 相 减 可 能 溢 出 :l 两 种 情 况 :同 号 相 加 时 , 结 果 符 号 与 加 数 符 号 相 反 溢 出 ; 异 号 相 减 时 , 结 果 符 号 与 减 数 符 号 相 同 溢 出 。l 方 法 :l 两 个 8位 带 符 号 二 进 制 数 相 加 或 相 减 时 , 若 C 7C6 1, 则 结 果 产 生 溢 出 。 C7为 最 高 位 的 进 (借 )位 ; C 为 次 高 位 的 进 (借 )位 。 例 :有 符 号 数 运 算 , 有 溢 出 表 示 结 果 是 错 误 的无 符 号 数 运 算 , 有 进 位 表 示 结 果 是 错 误 的 1 0 1 1 0 1 0 1 + 1 0 0 0 1 1 1 1 1 0 1 0 0 0 1 0 0 0 1 0 0 0 0 1 0 + 0 1 1 0 0 0 1 1 1 0 1 0 0 1 0 1 0 1 0 0 0 0 1 0 + 1 1 0 0 1 1 0 1 1 0 0 0 0 1 1 1 1CASE1: CASE2:CASE3:
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!