大规模可编程逻辑器件.ppt

上传人:za****8 文档编号:15507839 上传时间:2020-08-14 格式:PPT 页数:34 大小:1.13MB
返回 下载 相关 举报
大规模可编程逻辑器件.ppt_第1页
第1页 / 共34页
大规模可编程逻辑器件.ppt_第2页
第2页 / 共34页
大规模可编程逻辑器件.ppt_第3页
第3页 / 共34页
点击查看更多>>
资源描述
第2章 大规模可编程逻辑器件CPLD/FPGA,CPLD结构原理 FPGA结构原理 PLD产品介绍 编程、配置,本章内容:,2.1 CPLD结构与工作原理,Lattice公司ispLSI系列的CPLD产品为例详细介绍: CPLD的内部结构; CPLD的主要技术特征; CPLD的设计编程方法。,2.1 CPLD结构与工作原理,可编程逻辑块:CPLD的主要组成部分,用以实现系统逻辑功能的配置; I/O模块:实现CPLD输入/输出信号的引脚驱动及电平匹配; 可编程互联通道:实现CPLD内部各个功能模块的互联通信。,CPLD的内部结构:,ispLSI1000和ispLSI1000E系列为通用器件; ispLSI2000系列的器件适用于高速系统的设计;ispLSI3000系列的器件适用于复杂系统设计,集成度高、速度高;ispLSI5000系列的器件为68bit超宽输入系列;ispLSI6000系列的器件在结构上增加了存储器;ispLSI8000系列器件是多寄存器超大结构。 ispMACH系列器件的特征是超大、超宽、超快;,2.1.1 Lattice公司的CPLD器件,Lattice公司的isp系列器件主要包括ispLSI和ispMACH系列:,2.1.2 ispLSI1016,通用逻辑模块GLB 输入/输出单元IOC 集总布线区GRP 输出布线区ORP 时钟分配网络CDN,2.1.2 ispLSI1016,通 用 逻 辑 模 块 GLB,2.1.2 ispLSI1016,输入/输出单元IOC,2.1.2 ispLSI1016,输入/输出单元IOC,2.1.2 ispLSI1016,集总布线区GRP,ispLSI结构的中央是全局布线区(GRP),它连接所有的内部逻辑: 提供高速的内部连线,可实现IOC到GLB或者GLB到GLB的互连。 特点是其输入输出之间的延迟恒定且可预知。,2.1.2 ispLSI1016,输出布线区ORP: GLB和IOC之间的可编程互连阵列,可提高分配IO管脚的灵活性,简化布线软件,2.1.2 ispLSI1016,时钟分配网络CDN: 用于产生5个全局时钟信号,分配给GLB和I/O用; 可将时钟专用GLB的4个输出送入时钟分配网络,以建立用户定义的内部时钟。,2.1.2 ispLSI1016,宏模块结构:器件采用的一种分块结构,1.Ultra-MOS工艺 利用Ultra-MOS工艺生产的ispLSI器件具有高密度,高性能的特点。目前ispLSI系列器件的系统工作速度已达200MHz,集成度可达58000个逻辑门。,2.1.3 ispLSI器件的主要技术特性,2.在系统编程功能 所有的ispLSI系列器件均为ISP器件,具有在系统编程能力。 所谓“在系统可编程”是指对器件、电路板、整个电子系统进行逻辑重构和功能修改的能力,这种重构可以在制造之前,调试过程中,甚至在交付用户使用之后进行。,2.1.3 ispLSI器件的主要技术特性,3.边界扫描测试功能 边界扫描技术主要解决芯片的测试问题,借助一个4信号线的接口及相应的软件则可实现对电路板上所有支持边界扫描的芯片内部逻辑和边界引脚的测试。 ispLSI器件中ispLSI 3000、 6000及8000系列器件支持IEEE1149.1.边界扫描测试标准。它们可以通过5个ISP编程管脚中的4个来传递边界扫描信号。,2.1.3 ispLSI器件的主要技术特性,4.加密功能 ispLSI器件具有加密功能,用于防止非法拷贝JEDEC数据文件。ispLSI器件中提供了一段特殊的加密单元,该单元被加密以后就不能读出器件的逻辑配置数据。由于ispLSI器件的加密单元只能通过对器件重新编程才能擦除,已有的解密手段一般不能破解,器件的加密特性较好。,2.1.3 ispLSI器件的主要技术特性,5.短路保护 ispLSI器件采取了两种短路保护手段。首先,选用电荷泵给硅片基底加上一个足够大的反向偏置电压,这个反向偏置电压能够防止输入负电压毛刺而引起的内部电路自锁;其次,器件输出采用沟道方式,取代传统的P沟道方式,消除SCR自锁现象。,2.1.3 ispLSI器件的主要技术特性,编程是指将EDA软件设计的熔丝图文件(JEDEC)写入PLD器件的过程(下载)。1、 ispLSI编程信号线: ispEN:编程使能,低电平有效; SCLK:时钟; SDI:串行数据输入; SDO:串行数据输出; MODE:方式控制。,2.1.4 ispLSI器件的编程,2、下载电路 编程电缆又称为下载电缆,该电缆连接计算机的并行口和ispLSI芯片。电缆中有简单的控制电路。,2.1.4 ispLSI器件的编程,Lattice公司的下载电缆,3、多个器件的编程 有并行和串行两种方式,但都需要在EDA软件的支持下完成。串行菊花链编程结构:,2.1.4 ispLSI器件的编程,1 、ispLSI 1016芯片(44管脚) 32个I/O(0-31); 4个专用输入(IN0-3); 5个编程信号; 3个时钟输入; 2对电源。,2.1.5 ispLSI1016实验板,2、实验板组成 1016芯片、下载电路、电源电路、时钟电路、输入输出设备。 3、管脚分配11:时钟输入,可选择2HZ-2KHZ之间10个频率;15-22:8个输入开关;4-10:7个发光二极管,和L1复用;3:蜂鸣器;27-32、37,38-41:L2、L3(共阳),2.1.5 ispLSI1016实验板,2.2 FPGA结构与工作原理,以Xilinx公司的Spartan 3E系列FPGA产品为例 可配置逻辑块CLB 可配置I/O模块IOB 可编程互联资源IR,2.2 FPGA结构与工作原理,可配置逻辑块CLB,每个slice内部包含两个4输入查找表LUT,2.2 FPGA结构与工作原理,4输入查找表LUT,2.2 FPGA结构与工作原理,可配置I/O模块IOB:可配置I/O模块用来配置FPGA芯片引脚与外部模块通信信号的传输方向及输出信号的驱动电流大小。,FPGA的引脚可配置成:输入信号、输出信号、双向传输信号及高阻态。,可编程互联资源IR:可编程互联资源连接FPGA内部的各功能模块(如:IOB,CLB,交换矩阵、DCM、Block RAM等),实现各功能模块之间的通信。,2.3 CPLD/FPGA产品,Altera公司产品 Xilinx公司 Lattice公司,2.3.1 Altera公司产品,低成本的Cyclone系列:Cyclone、Cyclone II、Cyclone III、Cyclone IV、Cyclone V等; 中端的Arria系列:包括Arria GX、Arria II、Arria V等; 高端的Stratix系列:包括Stratix、Stratix II、Stratix III、Stratix IV、Stratix V等,2.3.2 Xilinx公司,CPLD产品:CoolRunner、XC9500系列 ; 低端Spartan :Spartan2、Spartan2E、Spartan3、Spartan3E、Spartan3A、Spartan6 ; 高端Virtex:有Virtex2、Virtex2P、Virtex4、Virtex5、Virtex6、Virtex7系列。,2.3.3 Lattice公司,CPLD产品有ispMACH 4000ZE、MachXO、MachXO2系列 ; LatticeECP3、LatticeECP2/M、LatticeSC/M、LatticeXP2等系列,2.4 编程与配置,在系统编程 FPGA配置 主动配置方式:由FPGA从外部程序存储器EEPROM或Flash中主动读取配置代码; 被动配置方式:由外部处理器将配置代码写入到FPGA中。,2.4 编程与配置,JTAG模式:在开发软件中通过下载电缆直接将配置代码下载到FPGA,是项目设计验证阶段常用的下载方式。,2.5 CPLD与FPGA比较,CPLD相对FPGA内部逻辑资源较少,且价格便宜; FPGA内部资源多,并可嵌入微处理IP核; 编程与配置; CPLD结构适合于组合逻辑设计,FPGA适合于时序逻辑设计。 CPLD内部逻辑单元间的连线为连续式布线,信号延迟时间可预测,而FPGA内逻辑单元间信号延迟不可预测。 CPLD保密性好,FPGA保密性差。 器件速度及功耗的选择。,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!