电子科技大学22春“电子信息工程”《EDA技术》综合作业三辅导答案3

上传人:住在****他 文档编号:108605302 上传时间:2022-06-15 格式:DOCX 页数:7 大小:21.17KB
返回 下载 相关 举报
电子科技大学22春“电子信息工程”《EDA技术》综合作业三辅导答案3_第1页
第1页 / 共7页
电子科技大学22春“电子信息工程”《EDA技术》综合作业三辅导答案3_第2页
第2页 / 共7页
电子科技大学22春“电子信息工程”《EDA技术》综合作业三辅导答案3_第3页
第3页 / 共7页
点击查看更多>>
资源描述
书山有路勤为径,学海无涯苦作舟! 住在富人区的她电子科技大学22春“电子信息工程”EDA技术综合作业三辅导答案一.综合考核(共50题)1.字符串型文字O“1234”的长度为()。A.12B.4C.8D.16参考答案:A2.使用Quartus工具团建修改设计元件符号,应采用()方式。A.图形编辑B.文本编辑C.符号编辑D.波形编辑参考答案:C3.执行MAX+PLUSII的()命令,可以对设计的电路进行仿真。A.create default symbolB.compilerC.simulatorD.programmer参考答案:C4.在以下四种语言中属于硬件描述语言的是()。A.DelphiB.VBC.VHDLD.VC参考答案:C5.下面哪个选项不是信号和变量的不同特性?()A.赋值方式不同B.定义位置不同C.赋值行为不同D.综合结果不同参考答案:D6.在VHDL中,条件信号赋值语句WHEN_ELSE属于()语句。A.并行和顺序B.顺序C.并行D.任意参考答案:A7.下列关于信号的说法不正确的是()。A.信号相当于器件内部的一个数据暂存节点B.信号的端口模式不必定义,它的数据既可以流进,也可以流出C.在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用D.信号在整个结构体内的任何地方都能适用参考答案:C8.VHDL数据对象有()。A.常量、变量B.变量、信号C.常量、变量、信号D.信号参考答案:C9.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为()。A.软核B.硬核C.固核D.都不是参考答案:A10.时间尺度定义为timescale 10ns/100ps,选择正确答案()。A.时间精度10nsB.时间单位100psC.时间精度100psD.时间精度不确定参考答案:C11.一个设计实体可以拥有一个或多个()。A.实体B.结构体C.输入D.输出参考答案:B12.下列关于元件例化语句的说法正确的是()。A.位置关联方式与顺序有关,名称关联方式与顺序有关B.位置关联方式与顺序有关,名称关联方式与顺序无关C.位置关联方式与顺序无关,名称关联方式与顺序有关D.位置关联方式与顺序无关,名称关联方式与顺序无关参考答案:B13.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:()。A.IEEE库B.VITAL库C.STD库D.WORK工作库参考答案:D14.一般把EDA技术的发展分为()个阶段。A.5B.4C.3D.2参考答案:C15.下例程序执行后,X和Y的值分别为()。Process(A,B,C) variable D:std_logic; begin D:=A; XA.B+C和B+AB.B+A和B+CC.B+C和B+CD.B+A和B+A参考答案:B16.现场可编程门阵列的英文简称是()。A.FPGAB.PLAC.PALD.PLD参考答案:A17.VHDL语言共支持四种常用库,其中()库是用户的VHDL设计现行工作库。A. IEEEB. WORKC. VITALD. STD参考答案:B18.不属于顺序语句的是()。A.IF语句B.LOOP语句C.PROCESS语句D.CASE语句参考答案:C19.在VHDL中,100m属于()文字。A.整数B.以数制基数表示的C.实数D.物理量参考答案:D20.进程中的信号赋值语句,其信号更新是()。A.按顺序完成B.比变量更快完成C.在进程的最后完成D.以上都不对参考答案:C21.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为()。A.核B.核C.核D.不是参考答案:A22.执行MAX+PLUS II的()命令,可以对设计的电路进行仿真。A.create default symbolB.compilerC.simulatorD.programmer参考答案:C23.Altera FLEX 10K 系列器件中的EAB大小为()位。A.256B.512C.1024D.2048参考答案:D24.关于VHDL中的数字,请找出以下数字中最大的一个()。A.21111_1110B.8276C.0170D.6EE1参考答案:A25.执行MAX+PLUSII的()命令,可以为设计电路建立一个元件符号。A.create default symbolB.simulatorC.compilerD.timing analyzer参考答案:A26.VHDL文本编辑中编译时出现如下的报错信息Error:Line1,File e:/muxfile/mux21.tdf:TDF syntax error其错误原因是()。A.错将设计文件的后缀写成.tdf而非.vhdB.错将设计文件存入了根目录,并将其设定成工程C.设计文件的文件名与实体名不一致D.程序中缺少关键词参考答案:A27.在VHDL中,为了使已声明的数据类型、子程序、元件能被其他设计实体调用或共享,可以把他们汇集在()中。A.设计实体B.子程序C.结构体D.程序库参考答案:D28.如果a=1,b=0,则逻辑表达式(a AND b) OR (NOT b AND a)的值是()。A.0B.1C.2D.不确定参考答案:B29.关于1987标准的VHDL语言,标识符的正确描述是()。A.下划线可以连用B.下划线不能连用C.不能使用下划线D.可以使用任何字符参考答案:B30.CPLD内部含有多个逻辑单元块,每个逻辑单元块相当于一个()。A.PALB.GALC.FPGAD.EPROM参考答案:B31.EDA的设计验证包括功能仿真、()和器件测试三个过程。A.形式仿真B.时序仿真C.数值仿真D.行为仿真参考答案:B32.VHDL程序基本结构包括()。A.实体、子程序、配置B.实体、结构体、配置、函数C.结构体、状态机、程序包和库D.实体、结构体、程序包和库参考答案:D33.ASIC的正确含义是()。A.专用电路B.集成电路C.专用集成电路D.特定集成电路参考答案:C34.“a=4b1100,b=4bx110”选出正确的运算结果()。A.a&b=0B.a&b=1C.b&a=xD.b&a=x参考答案:B35.在VHDL的CASE语句中,条件语句中的“=”不是操作符号,其作用相当于()。A.IFB.THENC.ANDD.OR参考答案:B36.Xilinx公司开发的开发软件为()。A.ISEB.ispDesignEXPERT系列C.QuartusD.Maxplus参考答案:A37.使用Quartus II工具软件修改设计元件符号,应采用()方式。A.图形编辑B.文本编辑C.符号编辑D.波形编辑参考答案:C38.在元件例化语句中,用()符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP中的信号名关联起来。A.=B.:=C.D.=参考答案:D39.如果A、B均为为std_logic_vector的数据类型,A的值为“100”,B的值为“011”,则BA的值为()。A.100011B.011100C.110011D.010011参考答案:B40.顺序语句中的转向控制语句包括()。A.if 语句、case 语句、return 语句、Exit 语句B.if 语句、case 语句、Loop 语句、Next 语句、Exit 语句C.if 语句、case 语句、Loop 语句、return 语句D.case 语句、Loop 语句、Next 语句、null 语句参考答案:B41.EDA设计流程包括()、设计输入、设计处理和器件编程四个步骤。A.设计准备B.总体设计C.详细设计D.设计数据参考答案:A42.VHDL的语言要素包括以下几类()。A.数据对象、数据类型、操作数、操作符B.数据对象、结构体、操作数、操作符C.实体、数据类型、操作数、操作符D.数据对象、配置、操作数、重载操作符参考答案:A43.在VHDL的端口声明语句中,用()声明端口为双向方向。A.INB.OUTC.INOUTD.BUFFER参考答案:C44.在VHDL中,45_234_287属于()文字。A.整数B.以数制基数表示的C.实数D.物理量参考答案:A45.2006年推出的Core2微处理器芯片的集成度达()万只晶体管。A.2亿3千B.2亿C.1亿D.5亿参考答案:A46.已知“a=1b1;b=3b001;”那么a,b=()。A.4b0011B.3b001C.4b1001D.3b101参考答案:C47.在VHDL的IEEE标准库中,预定义的标准逻辑位数据STD_LOGIC有()种逻辑值。A.2B.3C.8D.9参考答案:D48.在VHDL标识符命名规则中,以()开头的标识符是正确的。A.字母B.数字C.字母或数字D.下划线参考答案:A49.使用STD_LOGIG_1164使用的数据类型时()。A.可以直接调用B.必须在库和包集合中声明C.必须在实体中声明D.必须在结构体中声明参考答案:B50.变量和信号的描述正确的是()。A.变量赋值符号是:=B.信号赋值符号是:=C.变量赋值符号是D.二者没有区别参考答案:A
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 考试试卷


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!