dspbuilder设计初步学习教案

上传人:可**** 文档编号:101494306 上传时间:2022-06-05 格式:PPTX 页数:126 大小:3.93MB
返回 下载 相关 举报
dspbuilder设计初步学习教案_第1页
第1页 / 共126页
dspbuilder设计初步学习教案_第2页
第2页 / 共126页
dspbuilder设计初步学习教案_第3页
第3页 / 共126页
点击查看更多>>
资源描述
会计学1dsp builder设计初步设计初步第一页,编辑于星期六:六点 三十九分。X康芯科技康芯科技自动流程:自动流程:1、MATLAB/Simulink建模;2、系统仿真;3、DSP Builder完成VHDL转换、综合、适配、下载;4、嵌入式逻辑分析仪实时测试。手动流程:手动流程:1、MATLAB/Simulink建模;2、系统仿真;3、DSP Builder完成VHDL转换、综合、适配;4、Modelsim对TestBench功能仿真;5、QuartusII直接完成适配(进行优化设置);6、QuartusII完成时序仿真;7、引脚锁定;8、下载/配置与嵌入式逻辑分析仪等实时测试;9、对配置器件编程,设计完成。第1页/共126页第二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-2 正弦波发生模块原理图正弦波发生模块原理图 第2页/共126页第三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技建立设计模型 1、打开、打开Matlab环境环境 图图9-2 正弦波发生模块原理图正弦波发生模块原理图 第3页/共126页第四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技建立设计模型 2、建立工作库、建立工作库 cd e:/mkdir /myprj/sinwavecd /myprj/sinwave 第4页/共126页第五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技3、了解、了解simulink库管理器库管理器 图图9-2 正弦波发生模块原理图正弦波发生模块原理图 第5页/共126页第六页,编辑于星期六:六点 三十九分。3、了解、了解simulink库管理器库管理器 图图9-5 simulink库管理器库管理器第6页/共126页第七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技4. simulink的模型文件的模型文件 图图9-6 建立新模型建立新模型第7页/共126页第八页,编辑于星期六:六点 三十九分。5、放置、放置SignalCompilder 6、放置、放置Increment Decrement 7. 设置设置IncCount 总线类型(总线类型(Bus Type););输出位宽(输出位宽(Number of bits););增减方向(增减方向(Direction););开始值(开始值(Starting Value););是否使用控制输入(是否使用控制输入(Use Control Inputs)时钟相位选择(时钟相位选择(Clock Phase Selection)第8页/共126页第九页,编辑于星期六:六点 三十九分。图图9-7 放置放置SignalCompiler 第9页/共126页第十页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-8 递增递减模块改名为递增递减模块改名为IncCount 7. 设置设置IncCount 第10页/共126页第十一页,编辑于星期六:六点 三十九分。图图9-9 设置递增递减模块设置递增递减模块 7. 设置设置IncCount 第11页/共126页第十二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-10 LUT模块模块 7. 设置设置IncCount 第12页/共126页第十三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技8、放置正弦查找表(、放置正弦查找表(SinLUT) 127*sin0:2*pi/26:2*pi) 9-1127*sin0:2*pi/28:2*pi) 9-2511*sin0:2*pi/26:2*pi) + 512 9-3第13页/共126页第十四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-11 设置设置SinLUT 8、放置正弦查找表(、放置正弦查找表(SinLUT) 第14页/共126页第十五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-12 Delay模块及其参数设置窗模块及其参数设置窗 9、放置、放置Delay模块模块 第15页/共126页第十六页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-13 设置设置SinCtrl 10、放置端口、放置端口SinCtrl 第16页/共126页第十七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-13 设置设置SinCtrl 10、放置端口、放置端口SinCtrl 第17页/共126页第十八页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-14 设置乘法单元设置乘法单元 11、放置、放置Product模块模块 第18页/共126页第十九页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-15 设置设置SinOut 12. 放置输出端口放置输出端口SinOut 13. 设计文件存盘设计文件存盘 第19页/共126页第二十页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-16 Step模块模块 模型仿真模型仿真 1、加入仿真步进模块、加入仿真步进模块 第20页/共126页第二十一页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-17 Scope模型模型 模型仿真模型仿真 2、添加波形观察模块、添加波形观察模块 第21页/共126页第二十二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-18 Scope初始显示初始显示 2、添加波形观察模块、添加波形观察模块 第22页/共126页第二十三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-19 设置设置Scope参数参数 3、Scope参数设置参数设置 第23页/共126页第二十四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-20 sinout全图全图 3、Scope参数设置参数设置 第24页/共126页第二十五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-21 设置设置Step 4、设置仿真激励、设置仿真激励 第25页/共126页第二十六页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-22 simulink仿真仿真Start 4、设置仿真激励、设置仿真激励 第26页/共126页第二十七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-23 simulink仿真设置仿真设置 5、启动仿真、启动仿真 第27页/共126页第二十八页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-24 有符号输出波形(系统级仿真有符号输出波形(系统级仿真 )5、启动仿真、启动仿真 第28页/共126页第二十九页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-25 无符号输出波形(系统级仿真)无符号输出波形(系统级仿真) 5、启动仿真、启动仿真 第29页/共126页第三十页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-26 无符号整数无符号整数Signed Integer输出电路输出电路 6、设计成无符号数据输出、设计成无符号数据输出 第30页/共126页第三十一页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-27 SinOut1模块设置模块设置 7、各模块功能说明、各模块功能说明 第31页/共126页第三十二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-28 ExtractBit模块设置模块设置 7、各模块功能说明、各模块功能说明 第32页/共126页第三十三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-29 BusConversion模块设置模块设置 7、各模块功能说明、各模块功能说明 第33页/共126页第三十四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-30 BusConcatenation模块设置模块设置 7、各模块功能说明、各模块功能说明 第34页/共126页第三十五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-31 SinOut1模块设置模块设置 7、各模块功能说明、各模块功能说明 第35页/共126页第三十六页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-32 NOT模块设置模块设置 7、各模块功能说明、各模块功能说明 第36页/共126页第三十七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-33 双击双击SignalCompiler 使用方法使用方法 1、分析当前的模型、分析当前的模型 第37页/共126页第三十八页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-34 打开打开SignalCompiler窗口窗口 2、设置、设置Signal Compiler 第38页/共126页第三十九页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-35 sinout工程处理信息工程处理信息 3、把模型文件、把模型文件MDL转换成转换成VHDL4、综合(、综合(Synthesis) 5、QuartusII适配适配 第39页/共126页第四十页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-36 准备执行准备执行tcl文件文件 使用使用ModelSimModelSim进行进行RTLRTL级仿真级仿真 第40页/共126页第四十一页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-37 ModelSim仿真结果仿真结果 使用使用ModelSimModelSim进行进行RTLRTL级仿真级仿真 第41页/共126页第四十二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-38 ModelSim的信号设置的信号设置 使用使用ModelSimModelSim进行进行RTLRTL级仿真级仿真 第42页/共126页第四十三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-39 设为设为Analog 使用使用ModelSimModelSim进行进行RTLRTL级仿真级仿真 第43页/共126页第四十四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-40 sinout工程的工程的ModelSim仿真波形(仿真波形(RTL级仿真)级仿真) 使用使用ModelSimModelSim进行进行RTLRTL级仿真级仿真 第44页/共126页第四十五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-41 打开打开QuartusII工程进行编译和时序仿真工程进行编译和时序仿真 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第45页/共126页第四十六页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-42 QuartusII工程工程VHDL程序实体程序实体 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第46页/共126页第四十七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-43 打开打开QuartusII工程的工程的vec仿真激励文件仿真激励文件 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第47页/共126页第四十八页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-44设置仿真文件路径设置仿真文件路径 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第48页/共126页第四十九页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-45 sinout工程的工程的QuartusII仿真波形(门级时序仿真)仿真波形(门级时序仿真) 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 硬件测试与硬件实现硬件测试与硬件实现 第49页/共126页第五十页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-46 准备建立准备建立subsystem 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第50页/共126页第五十一页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-47 建立建立subsystem后后 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第51页/共126页第五十二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-48 subsin/subsystem子系统图子系统图 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第52页/共126页第五十三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-49 修改子系统名修改子系统名 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第53页/共126页第五十四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-50 修改修改SubSystem的端口的端口 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第54页/共126页第五十五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-51 顶层图的改变顶层图的改变 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第55页/共126页第五十六页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-52 含含subsystem的的subsint模型模型 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第56页/共126页第五十七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-53 Scope1波形图波形图 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第57页/共126页第五十八页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-54 Scope波形图波形图 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第58页/共126页第五十九页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-55 SubSystem设置设置 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第59页/共126页第六十页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-56 编辑编辑singen的的“Mask type” 使用使用QuartusIIQuartusII实现时序仿真实现时序仿真 第60页/共126页第六十一页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-57 DDS系统系统 模块设计模块设计 第61页/共126页第六十二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-58 DDS子系统子系统SubDDS 模块设计模块设计 第62页/共126页第六十三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 模块设计模块设计 Freqword模块:(模块:(Altbus)库:库:Altera DSP Builder中中IO & Bus库库参数参数“Bus Type”设为设为“signed Integer”参数参数“Node Type”设为设为“Input port”参数参数“number of bits”设为设为“32” Phaseword模块:(模块:(Altbus)库:库:Altera DSP Builder中中IO & Bus库库参数参数“Bus Type”设为设为“signed Integer”参数参数“Node Type”设为设为“Input port”参数参数“number of bits”设为设为“32” 第63页/共126页第六十四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 模块设计模块设计 Amp模块:(模块:(Altbus)库:库:Altera DSP Builder中中IO & Bus库库参数参数“Bus Type”设为设为“signed Integer”参数参数“Node Type”设为设为“Input port”参数参数“number of bits”设为设为“10” DDSout模块:(模块:(Altbus)库:库:Altera DSP Builder中中IO & Bus库库 参数参数“Bus Type”设为设为“signed Integer”参数参数“Node Type”设为设为“Output port”参数参数“number of bits”设为设为“10” 第64页/共126页第六十五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 模块设计模块设计 Parallel Adder Subtractor模块:模块:(Parallel Adder Subtractor)库:库:Altera DSP Builder中中Arithmetic库库参数参数“Number of Inputs”设为设为“2”“Add(+)Sub(-)”设为设为“+”选择选择“Pipeline”参数参数“Clock Phase Selection”Delay模块:(模块:(Delay)库:库:Altera DSP Builder中中Storage库库参数参数“Depth”设为设为“1”参数参数“Clock Phase Selection”设为设为“1” 第65页/共126页第六十六页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 模块设计模块设计 Phaseword1模块:模块:(Altbus)库:库:Altera DSP Builder中中IO & Bus库库参数参数“Bus Type”设为设为“signed Integer”参数参数“Node Type”设为设为“Internal Node”参数参数“number of bits”设为设为“32” Parallel Adder Subtractor1模块:模块:(Parallel Adder Subtractor)库:库:Altera DSP Builder中中Arithmetic库库参数参数“Number of Inputs”设为设为“2”“Add(+)Sub(-)”设为设为“+”选择选择“Pipeline”参数参数“Clock Phase Selection” 第66页/共126页第六十七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 模块设计模块设计 BusConversion2模块:模块:(BusConversion)库:库:Altera DSP Builder中中IO & Bus库库参数参数“Input Bus Type”设为设为“signed Integer”参数参数“Input number of bits.”设为设为32参数参数“Output Bus Type”设为设为“Signed Integer”参数参数“Output number of bits.”设为设为“10”参数参数“Input Bit Connected to Output MSB”设为设为“31”参数参数“Input Bit Connected to Output LSB”设为设为“22”使用使用“Round” Product模块:模块:(Product)库:库:Altera DSP Builder中中Arithemtic库库参数参数“Pipeline”设为设为“2”参数参数“Clock Phase Selection”设为设为“1”不选择不选择“Use LPM” 第67页/共126页第六十八页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 模块设计模块设计 BusConversion3模块:模块:(BusConversion)库:库:Altera DSP Builder中中IO & Bus库库参数参数“Input Bus Type”设为设为“signed Integer”参数参数“Input number of bits.”设为设为“20”参数参数“Output Bus Type”设为设为“Signed Integer”参数参数“Output number of bits.”设为设为“10”参数参数“Input Bit Connected to Output MSB”设为设为“18”参数参数“Input Bit Connected to Output LSB”设为设为“9”使用使用“Round”使用使用“Saturate” 第68页/共126页第六十九页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-59 DDS系统输出波形系统输出波形 模块设计模块设计 第69页/共126页第七十页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-60 DDS系统输出波形系统输出波形 模块设计模块设计 第70页/共126页第七十一页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-61 FSK调制模型调制模型 调制器设计调制器设计 第71页/共126页第七十二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-62 FSK调制的调制的Sinulink仿真结果仿真结果 调制器设计调制器设计 第72页/共126页第七十三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-63 正交信号发生器正交信号发生器MDL模型模型 正交信号发生器设计正交信号发生器设计 第73页/共126页第七十四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-64 数字移相信号发生器数字移相信号发生器MDL模型模型 数控移相信号发生器设计数控移相信号发生器设计 第74页/共126页第七十五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-65 数字移相信号发生器输出波形数字移相信号发生器输出波形 数控移相信号发生器设计数控移相信号发生器设计 第75页/共126页第七十六页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-66 AM发生器模型发生器模型 幅度调制信号发生器设计幅度调制信号发生器设计 )1 (drFmamFF9-4 第76页/共126页第七十七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-67 AM模型仿真波形模型仿真波形 幅度调制信号发生器设计幅度调制信号发生器设计 第77页/共126页第七十八页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-68 线性反馈移位寄存器的构成线性反馈移位寄存器的构成 伪随机序列伪随机序列 z-1z-1z-1z-1C1+C2+z-1+Cn-2Cn-1Cn=1输出niiixCxF0)((9-5 )125 xx(9-6 )第78页/共126页第七十九页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-69 m序列发生器模型序列发生器模型 伪随机序列伪随机序列 第79页/共126页第八十页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-70 修改后的修改后的m序列发生器模型序列发生器模型 伪随机序列伪随机序列 第80页/共126页第八十一页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-71 m序列发生器序列发生器Simulink仿真结果仿真结果 伪随机序列伪随机序列 第81页/共126页第八十二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 帧同步检出帧同步检出 , 0, 1, 0,)(1nxxjRjnijxinjnjj00 (9-7) 第82页/共126页第八十三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-72 帧同步检出模型帧同步检出模型 帧同步检出帧同步检出 第83页/共126页第八十四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-73 bxp1m子系统子系统 帧同步检出帧同步检出 第84页/共126页第八十五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-74 bxn1m子系统子系统 帧同步检出帧同步检出 第85页/共126页第八十六页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-75 帧同步的巴克码检测仿真结果帧同步的巴克码检测仿真结果 帧同步检出帧同步检出 第86页/共126页第八十七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-76 插入插入HIL的的Simulink模型硬件仿真说明图模型硬件仿真说明图 仿真流程仿真流程 1首先完成一个首先完成一个Simulink模型设计模型设计 第87页/共126页第八十八页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-77 扫频滤波信号发生器扫频滤波信号发生器Simulink模型图,文件名模型图,文件名freqsweep.mdl 仿真流程仿真流程 1首先完成一个首先完成一个Simulink模型设计模型设计 第88页/共126页第八十九页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-78 扫频滤波信号发生器算法(软件)仿真波形扫频滤波信号发生器算法(软件)仿真波形 仿真流程仿真流程 1首先完成一个首先完成一个Simulink模型设计模型设计 第89页/共126页第九十页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-79 SignalCompiler对扫频滤波信号发生器进行转换、综合和适配对扫频滤波信号发生器进行转换、综合和适配 仿真流程仿真流程 2通过通过DSP Builder转化成转化成QuartusII的工程的工程 第90页/共126页第九十一页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-80 消去原设计,加入消去原设计,加入HIL模块模块 仿真流程仿真流程 3用用HIL模块取代设计模型的所有电路模块取代设计模型的所有电路 第91页/共126页第九十二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-81 向向Simulink图中拖入图中拖入HIL模块模块 仿真流程仿真流程 3用用HIL模块取代设计模型的所有电路模块取代设计模型的所有电路 第92页/共126页第九十三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-82 HIL模块工程加载与参数设置窗模块工程加载与参数设置窗 4HIL模块参数设置模块参数设置 第93页/共126页第九十四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-83 HIL模块编译与编程窗模块编译与编程窗 4HIL模块参数设置模块参数设置 第94页/共126页第九十五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-84 加入了加入了HIL模块的扫频滤波电路模型模块的扫频滤波电路模型 仿真流程仿真流程 5进行进行HIL硬件仿真硬件仿真 第95页/共126页第九十六页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-84 加入了加入了HIL模块的扫频滤波电路模型模块的扫频滤波电路模型 仿真流程仿真流程 5进行进行HIL硬件仿真硬件仿真 第96页/共126页第九十七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-86 利用专用编程模块向利用专用编程模块向FPGA下载下载 5进行进行HIL硬件仿真硬件仿真 第97页/共126页第九十八页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-87 加入了加入了HIL模块的模块的FSK模型模型 的的HILHIL仿真仿真 第98页/共126页第九十九页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-88 加入了加入了HIL模块的模块的FSK模型中方波信号参数设置窗口模型中方波信号参数设置窗口 的的HILHIL仿真仿真 第99页/共126页第一百页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-89 加入了加入了HIL模块的模块的FSK模型中仿真参数设置窗口模型中仿真参数设置窗口 的的HILHIL仿真仿真 第100页/共126页第一百零一页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-90加入了加入了HIL模块的模块的FSK模型仿真波形图模型仿真波形图 的的HILHIL仿真仿真 第101页/共126页第一百零二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-91 9-91 由状态机模块和双口由状态机模块和双口RAMRAM构成的构成的FIFOFIFO存储器电路存储器电路fifo_control.mdl fifo_control.mdl 控制状态机设计示例控制状态机设计示例 第102页/共126页第一百零三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-92 加减计数器模块加减计数器模块Up_Down_Counter内部电路内部电路 控制状态机设计示例控制状态机设计示例 第103页/共126页第一百零四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-93 状态机转换表图状态机转换表图 控制状态机设计示例控制状态机设计示例 第104页/共126页第一百零五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-94 库中默认状态机表模块库中默认状态机表模块 控制状态机设计示例控制状态机设计示例 第105页/共126页第一百零六页,编辑于星期六:六点 三十九分。X康芯科技康芯科技表表9-1 FIFO控制器状态转换表控制器状态转换表 控制状态机设计示例控制状态机设计示例 当前状态当前状态条件条件次态次态empty(push =1) & (count_in!=250)push_not_fullempty(push =0) & (pop=0)idlefull(push =0) & (pop=0)idlefull(pop=1)pop_not_emptyidle(pop =1) & (count_in = 0)emptyidlepush =1push_not_fullidle(pop =1) & (count_in! = 0)pop_not_emptyidle(push =1) & (count_in=250)fullpop_not_empty(push =0) & (pop=0)idlepop_not_empty(pop =1) & (count_in = 0)emptypop_not_empty(push =1) & (count_in!=250)push_not_fullpop_not_empty(pop =1) & (count_in! = 0)pop_not_emptypop_not_empty(push =1) & (count_in=250)fullpush_not_full(push =0) & (pop=0)idlepush_not_full(push =1) & (count_in=0)emptypush_not_full(push =1) & (count_in!=250)push_not_fullpush_not_full(push =1) & (count_in=250)fullpush_not_full(pop =1) & (count_in! = 0)pop_not_empty第106页/共126页第一百零七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-95 状态机模块图状态机模块图 状态机设计流程状态机设计流程 第107页/共126页第一百零八页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-96 状态机表的状态机表的“Inputs”页页 状态机设计流程状态机设计流程 第108页/共126页第一百零九页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-97 状态机表的状态机表的“States”页页 状态机设计流程状态机设计流程 第109页/共126页第一百一十页,编辑于星期六:六点 三十九分。X康芯科技康芯科技表表9-2 定义条件描述的条件操作符的优先级别定义条件描述的条件操作符的优先级别 状态机设计流程状态机设计流程 比较操作符比较操作符说明说明优先级优先级示例示例- unary)负负1-1()括号括号1(1)=数值相等数值相等2in1=5!=不等于不等于2in1!=5大于大于2in1in2=大于等于大于等于2in1=in2小于小于2in1in2=小于等于小于等于2in1=4) 或或2(in1=in2) (in1=in2)第110页/共126页第一百一十一页,编辑于星期六:六点 三十九分。X康芯科技康芯科技表表9-3 顺序计算判断示例顺序计算判断示例 状态机设计流程状态机设计流程 当前状当前状条件条件次态次态Idle(pop =1) & (count_in = 0)emptyIdlepush =1push-_not_fullIdle(pop =1) & (count_in! = 0)pop_not_emptyIdle(push =1) & (count_in=250)full第111页/共126页第一百一十二页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 状态机设计流程状态机设计流程 【例例9-1】 IF (pop_sig=1) AND (conut_in_sig=0) THEN next_state = empty_st;ELSIF (push_sig=1) THEN next_state = push_not_full_st ;ELSIF (pop_sig=1) AND (conut_in_sig / =0) THEN next_state = pop_not_empty_st ;ELSIF (push_sig=1) AND (conut_in_sig =250) THEN next_state = full_st ;ELSE next_state = idle_st ;END IF ;第112页/共126页第一百一十三页,编辑于星期六:六点 三十九分。X康芯科技康芯科技表表9-4 表表9-3的改变的改变 状态机设计流程状态机设计流程 当前状当前状条件条件次态次态Idle(pop =1) & (count_in = 0)emptyIdle(push =1) & (count_in=250)fullIdle(pop =1) & (count_in! = 0)pop_not_emptyIdlepush =1push-_not_full第113页/共126页第一百一十四页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 状态机设计流程状态机设计流程 【例例9-2】IF (pop_sig=1) AND (conut_in_sig=0) THEN next_state = empty_st ;ELSIF (pop_sig=1) AND (conut_in_sig / =0) THENnext_state = pop_not_empty_st ;ELSIF (push_sig=1) AND (conut_in_sig =250) THEN next_state = full_st ;ELSIF (push_sig=1) THEN next_state = push_not_full_st ; ELSE next_state = idle_st ;END IF ; 第114页/共126页第一百一十五页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 图图9-98 State Mahine Builder Design Rule Check页面页面 状态机设计流程状态机设计流程 第115页/共126页第一百一十六页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 图图9-99 设定了状态机后的表格模块设定了状态机后的表格模块 状态机设计流程状态机设计流程 第116页/共126页第一百一十七页,编辑于星期六:六点 三十九分。X康芯科技康芯科技图图9-100 仿真波形仿真波形 状态机设计流程状态机设计流程 第117页/共126页第一百一十八页,编辑于星期六:六点 三十九分。X康芯科技康芯科技 状态机设计流程状态机设计流程 stop time = 400 ;empty=0; full=0; idle=0 data_out=48stop time =900 ;empty=0; full=1; idle=0 data_out=stop time = 2000 ;empty=1; full=0; idle=0 data_out=48stop time = 400 ;empty=0; full=0; idle=0 data_out=48第118页/共126页第一百一十九页,编辑于星期六:六点 三十九分。 9-1 说明说明Matlab、DSP Builder和和QuartusII间的关系,给出间的关系,给出DSP Builder设计流程。设计流程。 9-2 把图把图9-2设计模型通过设计模型通过SignalCompiler转化为转化为VHDL文件,并用文件,并用ModelSim进行功能仿真。进行功能仿真。 9-3 DSP Builder子系统模块与子系统模块与Simulink的的SubSystem是什么关系,对于是什么关系,对于可以用可以用SignalCompiler编译的编译的DSP Builder子系统在子系统在 SubSystem的基础上还的基础上还需要什么设置?需要什么设置? 9-4 在手动流程中能完成哪几个层次的仿真,各有什么作用?在手动流程中能完成哪几个层次的仿真,各有什么作用? 9-5 简述简述DDS的实现原理。的实现原理。 9-6 分别说明分别说明DDS的输入信号与输出正弦信号的关系,分析的输入信号与输出正弦信号的关系,分析DDS带来的误差问题带来的误差问题 第119页/共126页第一百二十页,编辑于星期六:六点 三十九分。实验实验9-1.9-1. 利用利用Matlab/DSP BuilderMatlab/DSP Builder设计基本电路模块实验设计基本电路模块实验图图9-101 正弦调制信号模型正弦调制信号模型 第120页/共126页第一百二十一页,编辑于星期六:六点 三十九分。实验实验9-1.9-1. 利用利用Matlab/DSP BuilderMatlab/DSP Builder设计基本电路模块实验设计基本电路模块实验图图9-102 正弦调制信号仿真波形正弦调制信号仿真波形 第121页/共126页第一百二十二页,编辑于星期六:六点 三十九分。实验实验9-2 9-2 基于基于DSP BuilderDSP Builder的的DDSDDS应用模型设计应用模型设计 图图9-103 端口数据类型和位数变换后的端口数据类型和位数变换后的DDS模型模型 第122页/共126页第一百二十三页,编辑于星期六:六点 三十九分。实验实验9-2 9-2 基于基于DSP BuilderDSP Builder的的DDSDDS应用模型设计应用模型设计 图图9-104 数字移相信号发生器数字移相信号发生器 第123页/共126页第一百二十四页,编辑于星期六:六点 三十九分。实验实验9-2 9-2 基于基于DSP BuilderDSP Builder的的DDSDDS应用模型设计应用模型设计 图图9-105 QAM模型模型 第124页/共126页第一百二十五页,编辑于星期六:六点 三十九分。实验实验9-39-3 编译码器设计实验编译码器设计实验 实验实验9-4.9-4. HIL HIL硬件环仿真实验硬件环仿真实验 第125页/共126页第一百二十六页,编辑于星期六:六点 三十九分。
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!