国际电力电子相关会议.doc

上传人:jian****018 文档编号:9414420 上传时间:2020-04-05 格式:DOC 页数:7 大小:89.50KB
返回 下载 相关 举报
国际电力电子相关会议.doc_第1页
第1页 / 共7页
国际电力电子相关会议.doc_第2页
第2页 / 共7页
国际电力电子相关会议.doc_第3页
第3页 / 共7页
点击查看更多>>
资源描述
电气工程学院重要国际会议参考目录(前3栏中英文对照)序号国际会议名称会议周期所属国际学会(学术组织)(一级学会/分会)SCI,EI,SITD收录情况权威性的文字说明1CEFC(Biennial IEEE Conference on Electromagnetic Field Computation)IEEE电磁场计算会议两年一次Two yearsIEEE(电气与电子工程师协会)EI收录,SCI部分收录会议录用论文,经二审通过后将发表在IEEE磁学会刊(IEEE Transaction on Magnetics)上2COMPUMAG Conference on the Computation of Electromagnetic Fields国际电磁场数值计算会议http:/www.compumag2011.com/两年一次Two yearsIEEE(国际电磁场数值计算会议)EI收录,SCI部分收录工大支持会议录用论文,经二审通过后将发表在IEEE磁学会刊上3IEEE Industry Applications Society Annual MeetingIEEE工业应用学会年会每年One yearIAS(工业应用学会)全部EI收录涉及诸多工业应用领域,每次有所侧重,论文全部EI收录,择优在IEEE Trans. 刊登,为SCI收录4APEC(IEEE Applied Power Electronics Conference and Exposition)IEEE应用电力电子学会议和产品展示会每年One yearPELS(IEEE电力电子学会)、IAS(IEEE工业应用学会)和PSMA(电源制造协会)全部EI收录强调应用成果,得到世界大公司赞助,安排大型展览会;全部EI收录,择优在IEEE Trans.刊登,为SCI收录5PESC(IEEE Power Electronics Specialist Conference)IEEE 电力电子专家会议每年One yearPELS(IEEE电力电子学会)全部EI收录强调基础、理论原创性;全部EI收录,择优在IEEE Trans.刊登,为SCI收录6IECON(Annual Conference of the IEEE Industrial Electronics Society)IEEE工业电子学会年会http:/www.iecon2011.org/每年One yearIEEE工业电子学会(IEEE Industrial Electronics Society)全部EI收录着重工业电子学及其应用;全部EI收录,择优在IEEE Trans.刊登,为SCI收录7INTELEC(International Telecommunications Energy Conference)国际通讯电源会议每年One yearPELS (IEEE电力电子学会)全部EI收录着重通讯及有关电源技术;全部EI收录,择优在IEEE Trans.刊登,为SCI收录8European Conference on Power Electronics and Applications电力电子学及其应用的欧洲会议两年一次Two yearsEPE Association(欧洲电力电子协会)择优在IEE Proceedings刊登,为SCI收录侧重应用成果,正在申请会议论文列入EI检索;择优在IEE Proceedings刊登,为SCI收录9IEEE International Symposium on Electromagetic Compatibility-EMCIEEE电磁兼容国际研讨会每年One yearIEEE电磁兼容学会(IEEE Electromagetic Compatibility Society)全部ISTP收录部分EI收录EMC研究成果,全部ISTP收录,部分EI收录10PEDS(IEEE International Conference on Power Electronics and Drive Systems)IEEE电力电子学与驱动系统国际会议两年一次Two yearsIEEE下属若干学会全部ISTP收录部分EI收录包含:电机与驱动方向的为数不多的国际会议之一11PCIM(Europe:Power Conversion and Intelligent Motion Conference)欧洲功率变换和智能运动控制会议每年One year德国PCIM会议执行委员会是欧洲历史较长的国际会议和展览会,侧重于功率器件及其应用12PEMD (IEE Power Electronics and Motor Drives)IEE电力电子与电力传动国际会议两年一次Two yearsIEE 电力工程学会主办EI收录国际上针对“电机与驱动”方向最重要的两大会议之一,与IEMDC为“姐妹会议”13PEMC (EPE:Power Electronics and Motion Control)欧洲电力电子与运动控制会议两年一次Two yearsEPE(欧洲电力电子协会)和EPE会议交叉举行14IEEJ International Power Electronics Conference日本国际电力电子学术会议5年一次Five yearsIEEJ(日本电气工程师学会)择优在IEEJ Proceedings刊登,为SCI收录15PCC(IEEJ Power Conversion Conference)日本功率变换学术会议5年一次Five yearsIEEJ(日本电气工程师学会)EI收录择优在IEEJ Proceedings刊登,为SCI收录16IEMDC (IEEE International Electric Machines and Drives Conference)IEEE电机及其驱动国际学术会议两年一次Two yearsIEEE(电气与电子工程师协会)EI目前不收录国际上针对“电机与驱动”方向最重要的两大会议之一,与IEMDC为“姐妹会议”17ICEMS(International Conference on Electric Machines and System)电机和系统国际会议两年一次Two yearsIEEE IASEI目前不收录国际上针对“电机与驱动”方向最重要的两大会议之一,与IEMDC为“姐妹会议”18ICEM(International Conference on Electric Machines)国际电机会议两年一次Two yearsICEM(国际电机会议)EI目前不收录国际上针对电机方向的最悠久的会议19International Power Engineering Conference国际电力工程会议20IEEE PES General MeetingIEEE电力工程年会每年One year电气与电子工程师协会(IEEE)论文EI收录IEEE电力工程师协会最高级别的学术会议21IEEE PES Transmission & Distribution ConferenceIEEE输、配电国际会议每年One year电气与电子工程师协会(IEEE)EI收录IEEE电力工程师协会输、配电方面的权威性国际会议22PSCC(Power Systems Computation Conference)电力系统计算会议每3年Three year电力系统计算会议EI收录电力系统计算方面权威性国际学术会议(在欧洲举行)23International Conference on AC-DC Power Transmission交、直流电力传输国际会议每5年Five yearIEE(英国电气与电子工程师协会)EI收录IEE交、直流电力传输权威性国际学术会议24IEEE PES Power Systems Conference & ExpositionIEEE电力系统会议与展览会每年One yearIEEE(电气与电子工程师协会)EI收录IEEE电力工程协会电力系统方面的权威性国际会议25IEEE Internationalconference onRobotics andAutomationIEEE机器人技术与自动控制国际会议每两年Two yearIEEE(电气与电子工程师协会)EI收录IEEE电气与电子工程师协会主办,EI收录26American Control Conference美国控制会议每年One yearAmerican Automatic Control Council(美国自动控制协会)EI收录全部论文录入EI,参加人数特多,得到全美和世界各国学者关注27IEEE International Conference on Systems, Man and CyberneticsIEEE系统、人和控制论国际会议每年One yearIEEE(电气与电子工程师协会)EI收录IEEE的SMC学会资助。该学会出版多份杂志,有理论杂志,也有应用杂志,世界上较有影响28IEEE Annual International Symposium on Fault Tolerant ComputingIEEE故障容错计算国际年会每年One yearIEEE(电气与电子工程师协会)EI收录是故障诊断全球最高级别会议29IEEE International Joint Conference on Neural NetworksIEEE神经网络国际联合会议每年One yearIEEE(电气与电子工程师协会)EI收录EI收录30IEEE international conference on evolutionary computationIEEE进化计算国际会议每年One yearIEEE(电气与电子工程师协会)EI收录是先进算法的最高级会议,优秀论文可刊在进化计算杂志上,每年参加会议人数多31IEEE International Fuzzy Systems ConferenceIEEE模糊系统国际会议每年One yearIEEE(电气与电子工程师协会)EI收录模糊控制应用广泛,该会议每年参加人数近千人,还有产品展览。32IEEE International Conference on Acoustics, Speech and Signal ProcessingIEEE声学、语音和信号处理国际会议每年One yearIEEE(电气与电子工程师协会)EI收录该会议属多学科交叉,涉及自动化、信息处理、通信。每年参加会议人数两千人33IEEE International Conference on Acoustics, Speech and Signal ProcessingIEEE计算智能世界大会每两年Two yearsIEEE(电气与电子工程师协会)EI收录全部论文录入EI34IEEE Conference on Decision and ControlIEEE决策和控制会议每年One yearIEEE(电气与电子工程师协会)EI收录控制领域最权威的会议35IEEE International Symposium on Intelligent ControlIEEE智能控制国际会议每年One yearIEEE(电气与电子工程师协会)EI收录智能控制领域重要的国际会议36IEEE Conference on Computer Vision and Pattern RecognitionIEEE计算机视觉和模式识别会议每年One yearIEEE(电气与电子工程师协会)EI收录计算机视觉和模式识别方面重要的国际会议37IFAC World congress国际自控联世界大会三年一次Three yearsIFAC(国际自控联)部分论文在“Automatica”上刊登,被SCI收录部分论文在“Automatica”上刊登,被SCI收录38Asia and South Pacific Design Automation Conference, ASP-DAC亚洲及南太平洋设计自动化会议每年AnnuallyIEEE Circuits and Systems Society (IEEE电路与系统学会)全部EI收录亚太地区设计自动化权威会议,与设计自动化会议对应39IEEE Custom Integrated Circuits Conference, CICCIEEE 定制电路会议每年AnnuallyED(IEEE电子器件学会)SSC (IEEE固态电路学会)全部EI收录代表当前定制电路设计最高水平40IEEE International Solid-State Circuits Conference, ISSCCIEEE国际固态电路会议每年AnnuallySSC,SF Section,SFBAC(IEEE固态电路学会IEEE旧金山分会旧金山海湾理事会)全部EI收录固态电路顶级会议,最新集成电路设计展示,论文全部EI收录,择优在IEEE JSSCC发表.41IEEE International Symposium on Circuits and Systems, ISCASIEEE国际电路与系统讨论会每年AnnuallyCAS(IEEE电路与系统学会)全部EI收录以论文范围广而著称,其中有不少创新点42International Conference on Solid-State and Integrated-Circuit Technology国际固态与集成电路技术会议每三年TrienniallyIEEE北京分会与IEEE电子器件学会部分EI收录偏重固态器件,工艺的发展,也包括集成电路的设计43IEEE International Workshop on System on Chip forReal-Time Systems,IWSOC05IEEE实时片上系统研讨会每年AnnuallyIEEE-CAS (IEEE 电路与系统学会)全部EI收录片上系统最新发展44Design Automation Conference设计自动化会议每年AnnuallySSCS ACM-SIGDA (IEEE固态电路学会,美国计算机学会设计自动化专业组)全部EI收录集成电路设计自动化顶级会议,迄今已开42届。择优在IEEE Tran.发表.45International Conference on Computer Aided Design计算机辅助设计国际会议每年AnnuallySSCS ACM-SIGDA (IEEE固态电路学会,美国计算机学会设计自动化专业组)全部EI收录从底层工艺,电路,到系统方面为CAD与集成电路设计人员提供交流平台46International Test Conference国际测试会议每年AnnuallyCS (IEEE计算机学会)全部EI收录集成电路测试顶级会议,迄今已开25届。择优在IEEE发表.47Design Automation and Test in Europe设计自动化与测试欧洲会议每年AnnuallyACM-SIGDA(美国计算机学会设计自动化专业组)IEEE-CS(IEEE计算机学会)全部EI收录欧洲地区设计自动化与测试会议48SPIEs International Symposium on Microlithography国际光学工程学会光刻研讨会每年AnnuallySPIE(国际光学工程学会)全部EI收录展示光刻艺术在集成电路中的应用49IEEE Asian Solid-State Circuits Conference, ASSCCIEEE亚洲固态电路会议每年AnnuallySSCC,IEEE region 10 SSCS chapters(IEEE固态电路学会,亚洲分会)全部EI收录新兴会议,展示亚洲最新集成电路设计动态506Magnetism and Magnetic Materials Conference磁学会与磁材料会议每年One yearAmerican Institute of Physics(美国物理协会)EI全部收录,SCI部分收录发表在Journal of Applied Physics 上51INTERMAG国际电磁会议http:/www.intermagconference.com/intermag2011/每年One yearIEEE Mgnetics Society (EEE 磁学会)EI全部收录,SCI部分收录工大支持发表在IEEE Mgnetics Society 上
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑环境 > 建筑资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!