封装专用英语词汇.doc

上传人:jian****018 文档编号:8972632 上传时间:2020-04-02 格式:DOC 页数:28 大小:140.50KB
返回 下载 相关 举报
封装专用英语词汇.doc_第1页
第1页 / 共28页
封装专用英语词汇.doc_第2页
第2页 / 共28页
封装专用英语词汇.doc_第3页
第3页 / 共28页
点击查看更多>>
资源描述
常见封装形式简介DIP = Dual Inline Package = 双列直插封装HDIP = Dual Inline Package with Heat Sink = 带散热片的双列直插封装SDIP = Shrink Dual Inline Package = 紧缩型双列直插封装SIP = Single Inline Package = 单列直插封装HSIP = Single Inline Package with Heat Sink = 带散热片的单列直插封装SOP = Small Outline Package = 小外形封装HSOP = Small Outline Package with Heat Sink = 带散热片的小外形封装eSOP = Small Outline Package with exposed thermal pad = 载体外露于塑封体的小外形封装 SSOP = Shrink Small Outline Package = 紧缩型小外形封装TSSOP = Thin Shrink Small Outline Package = 薄体紧缩型小外形封装TQPF = Thin Profile Quad Flat Package = 薄型四边引脚扁平封装PQFP = Plastic Quad Flat Package = 方形扁平封装LQPF = Low Profile Quad Package = 薄型方形扁平封装eLQPF = Low Profile Quad Flat Package with exposed thermal pad = 载体外露于塑封体的薄型方形扁平封装DFN = Dual Flat Non-leaded Package = 双面无引脚扁平封装QFN = Quad Flat Non-leaded Package = 双面无引脚扁平封装TO = Transistor package = 晶体管封装SOT = Small Outline of Transistor = 小外形晶体管BGA = Ball Grid Array = 球栅阵列封装BQFP = Quad Flat Package With Bumper = 带缓冲垫的四边引脚扁平封装CAD = Computer Aided Design = 计算机辅助设计CBGA = Ceramic Ball Grid Array = 陶瓷焊球阵列 CCGA = Ceramic Column Grid Array = 陶瓷焊柱阵列CSP = Chip Size Package = 芯片尺寸封装 DFP = Dual Flat Package = 双侧引脚扁平封装 DSO = Dual Small Outline = 双侧引脚小外形封装 3D = Three-Dimensional = 三维 2D = Two-Dimensional = 二维FCB = Flip Chip Bonding = 倒装焊IC = Integrated Circuit = 集成电路I/O = Input/Output = 输入/输出LSI = Large Scale Integrated Circuit = 大规模集成电路MBGA = Metal BGA = 金属基板BGAMCM = Multichip Module = 多芯片组件MCP = Multichip Package = 多芯片封装MEMS = Microelectro Mechanical System = 微电子机械系统MFP = Mini Flat Package = 微型扁平封装MSI = Medium Scale Integration = 中规模集成电路 OLB = Outer Lead Bonding = 外引脚焊接PBGA = Plastic BGA = 塑封BGA PC = Personal Computer = 个人计算机 PGA = Pin Grid Array = 针栅阵列 SIP = System In a Package = 系统级封装SOIC = Small Outline Integrated Circuit = 小外形封装集成电路 SOJ = Small Outline J-Lead Package = 小外形J形引脚封装 SOP = Small Outline Package = 小外形封装 SOP = System On a Package = 系统级封装WB = Wire Bonding = 引线健合 WLP = Wafer Level Package = 晶圆片级封装 常用文件、表单、报表中英文名称清除通知单 Purge notice工程变更申请 ECR(Engineering Change Request)持续改善计划 CIP(continuous improvement plan)戴尔专案 Dell Project收据 Receipt数据表 Data sheet核对表 Check list文件清单 Documentation checklist设备清单 Equipment checklist调查表,问卷 Questionnaire报名表 Entry form追踪记录表 Tracking log日报表 Daily report周报表 Weekly report月报表 Monthly report年报表 Yearly report年度报表 Annual report财务报表 Financial report品质报表 Quality report生产报表 Production report不良分析报表 FAR(Failure analysis report)首件检查报告 First article inspection report初步报告(或预备报告) Preliminary report一份更新报告 An undated report一份总结报告 A final report纠正与改善措施报告(异常报告单) CAR (Corrective Action Report)出货检验报告 Outgoing Inspection Report符合性报告(材质一致性证明) COC(Certificate of Compliance)稽核报告 Audit report品质稽核报告 Quality audit report制程稽核报告 Process audit report5S 稽核报告 5S audit report客户稽核报告 Customer audit report供应商稽核报告 Supplier audit report年度稽核报告 Annual audit report内部稽核报告 Internal audit report外部稽核报告 External audit reportSPC 报表(统计制程管制) Statistical process control工序能力指数(Cpk) Process capability index(规格)上限 Upper limit(规格)下限 Lower limit规格上限 Upper Specification Limit(USL)规格下限 Lower Specification Limit(LSL)上控制限(或管制上限) Upper Control Limit(UCL)下控制限(或管制下限) Lower Control Limit(LCL)最大值 Maximum value平均值 Average value最小值 Minimum value临界值 Threshold value / critical valueMRB 单(生产异常通知报告) Material Review Board Report工艺流程图 Process Flow Diagram物料清单(产品结构表/用料结构表) BOM (Bill of Materials )合格供应商名录 AVL (Approved Vendor List)异常报告单 CAR工程规范报告通知单(工程变更通知) ECNTECN自主点检表 Self Check List随件单(流程卡) Traveling Card (Run Card)压焊图 Bonding diagram晶圆管制卡 Wafer inspection card晶圆进料品质异常反馈单 Feedback Report for Wafer Incoming Quality Problems订购单 PO(Purchase Order)出货通知单 Advanced Ship Notice送货单/交货单 DO(Delivery Order)询价单 RFQ(Request for quotation)可靠性实验报告 Reliability Monitor Report产品报废单 PSB特采控制表 CRB返工单 PRB异常处理行动措施 OCAP减薄:Wafer weif n .威化饼干、电子晶片(晶圆薄片)Grindraind vt. & vi. 磨碎;嚼碎 n .磨,碾Crackkrk vt. & vi. (使)开裂, 破裂n.裂缝, 缝隙Inkik n. 墨水, 油墨Die dai vt. & vi. 死亡(芯片)Dotdt n .点, 小圆点Mountingmaunti n. 装备,衬托纸Tapeteip n. 带子;录音磁带; 录像带Sizesaiz n. 大小, 尺寸,尺码Thickik adj. 厚的,厚重的Thicknessiknis n. 厚(度), 深(度)宽 (度)Positionpzin n. 方位,位置Roughrf adj .粗糙的; 不平的Finefain adj. 美好的, 优秀的, 优良的, 杰出的Speed spi:d n. 速度, 速率Sparksp:k n. 火花; 火星Outaut adv. 离开某地, 不在里面;(火或灯)熄灭Grindstoneraindstun n. 磨石、砂轮Mountmaunt vt. & vi. 装上、配有Mounter 装配工;安装工;镶嵌工Mountingmauntin. 装备,衬托纸Magazine,mzi:n n. 杂志, 期刊,弹药库(传递料盒)Cassettekset n. 盒式录音带;盒式录像带Inspectinspekt vt. 检查,检验,视察Inspectioninspekn n. 检查,视察Cardk:d n. 卡, 卡片, 名片划片:Saws: n. 锯 vt. & vi. 锯,往复运动Sawings:i n. 锯,锯切,锯开Filmfilm n. 影片, 电影(薄膜,蓝膜)Frame freim n. 框架,骨架,构架Cleankli:n adj. 清洁的, 干净的;纯净的Cleanerkli:n n. 作清洁工作的人或物Ovenvn n . 烤箱, 炉Cassettekset n. 盒式录音带;盒式录像带Handlerhndl n. (物品、商品)的操作者Scribeskraib n . 抄写员, 抄书吏Street n. 大街, 街道Bladebleid n. 刀口, 刀刃,刀片Cutkt vt. & vi. 切, 剪, 割, 削Speedspi:d n. 速度, 速率Spindlespindl n. 主轴, (机器的)轴Sizesaiz n. 大小, 尺寸,尺码Coolingku:li adj. 冷却(的)Kerfk:f n. 锯痕,截口,切口Widthwid n . 宽度, 阔度, 广度Chiptip n. 碎片、缺口Chippingtipi n. 碎屑,破片Crackkrk vt . (使)开裂,破裂 n . 裂缝, 缝隙Missingmisi adj. 失掉的,失踪的,找不到的Die dai vt. & vi. 死亡(芯片)Saws: n. 锯 vt. & vi. 锯,往复运动Street stri:t n. 大街, 街道Filmfilm n. 影片, 电影(薄膜,蓝膜)Frame freim n. 框架,骨架,构架Tapeteip n. 带子;录音磁带; 录像带Bubblebbl n. 泡, 水泡, 气泡mount-贴 wafer-晶圆 frame-框架 blade-刀片tape-膜 cassette-盒子 completion-完成 loader-上料un-loader-出料 initial-初始化 open-打开 air-空气pressure-压力 failure-失败 vacuum-真空 alignment-校准ink-黑点 die-芯片 error-错误 limit-限制cover-盖子 device-产品 data-数据 saw-切割water-水 elevator-升降机 spindle-主轴 sensor-感应器wheel-轮子 setup-测高 rotary-旋转 check-检查feed-进给 cutter-切割 speed-速度 height-高度new-新 shift-轮班 pause-暂停 clean-清洗center-中心 chip-崩边 change-变换 enter-确认Off center-偏离中心 broken-破的 alarm-报警上芯:Attachtt vt. & vi. 贴上; 系; 附上Bondbnd n. 连接, 接合, 结合 vt. 使粘结, 使结合Bonderbnd n. 联接器,接合器,粘合器Die attach material epoxy 粘片胶Epoxyepksi n. 环氧树脂(导电胶)Materialmtiril n. 材料, 原料Non-conductive epoxy 绝缘胶Conductivekndktiv adj. 传导的Dispenserdispens n. 配药师, 药剂师Nozzlenzl n. 管嘴, 喷嘴Rubberrb n. (合成)橡胶,橡皮Tiptip n. 尖端, 末端Die pick-up tool 吸嘴Tooltu:l n. 工具, 用具Collectklekt vt. 收集, 采集(吸嘴)Ejectoridekt n. 驱逐者,放出器,排出器Pinpin n. 针,大头针, 别针Lead Frame 引线框架Leadli:d vt. & vi. 带路, 领路, 指引Frame freim n. 框架,骨架,构架Magazine,mzi:n n. 杂志, 期刊(料盒)Curingkjuri n. 塑化, 固化, 硫化, 硬化Ovenvn n. 烤箱, 炉Scrapskrp n. 小片, 碎片, 碎屑Dent dent n. 凹痕, 凹坑Die Lift-off 晶粒脱落(芯片脱落,掉芯)Skewskju: adj. 歪, 偏, 斜Misorientation mis,:rientein n. 定向误差,取向误差Pre squeeze del 写胶前气压延时Post squeeze del 写胶后气压延时Squeezeskwi:z vt. 榨取, 挤出n.挤, 榨, 捏Eject idekt vt. & vi .弹出, 喷出, 排出Delaydilei n. 延迟Heighthait n. 高度, 身高Levellevl n. 水平线, 水平面; 水平高度Headhed n. 头部,领导, 首脑Eject up delay 顶针延迟Eject up height 顶针高度Bond level 粘片高度Pick Level 捡拾芯片高度Head pick delay 粘接头拾取延迟Head bond delay 粘接头粘接延时Pick delay 捡拾芯片延时Bond delay 粘接芯片延时Indexindeks n. 索引;标志, 象征; 量度Clampklmp vt. & vi. 夹紧; 夹住 n.夹具Index clamp delay 步进夹转换延时Index delay 框架步进延时Sheari vt. 剪羊毛, 剪n.大剪刀Testtest n. 测验,化验,试验, 检验Die shear test 推晶试验Thicknessiknis n. 厚(度), 粗Coveragekvrid n. 覆盖范围Epoxy thickness & coverage 导电胶厚度和覆盖率Orientation,:rientein n. 方向, 目标Die Orientation 芯片方向Voidvid adj. 空的, 空虚的 n.太空, 宇宙空间;空隙, 空处;空虚感, 失落感Epoxy void 导电胶空洞Chiptip n. 碎片Damagedmid vt. & vi. 损害, 毁坏, 加害于 n. 损失, 损害, 损毁Chip damage 芯片损伤Backsidebksaid n. 臀部, 屁股,背面Chip backside damage 芯片背面损伤Tilttilt vt. & vi. (使)倾斜Tilted die 芯片歪斜Epoxy on die 芯片粘胶Crackkrk vt. & vi. (使)开裂, 破裂 n. 裂缝, 缝隙Crack die 芯片裂缝/芯片裂痕Liftlift vt. & vi. 举起, 抬起 n. 抬, 举Lifted die 翘芯片Misplace,mispleis vt. 把放错位置Misplaced die 设置芯片NO die on L/F 空粘Insufficient,nsfint adj. 不足的, 不够的Insufficient epoxy 导电胶不足Epoxy crack 导电胶多胶Epoxy curing 银浆烘烤Edgeed n. 边, 棱, 边缘Partialp:l adj. 部分的, 不完全的Mirrormir n. 镜子Missingmisi adj. 失掉的,失踪的,找不到的Edge die / partial die 边缘片 / 边沿芯片Mirror die 光片 / 镜子芯片Missing die 掉芯 / 漏芯 / 掉片Splash spl vt. 使(液体)溅起vi.(液体)溅落Splatter splt vt. & vi. (使某物)溅泼Diagram dairm n. 图解, 简图, 图表Ink splash / ink splatter 墨溅Die bonding diagram 上芯图Die shesr test 推片实验/推晶试验Die shear tester 推片试验机Die shesr tool 推片头Metal corrosion 晶粒腐蚀/芯片腐蚀Wafer mapping system 芯片分级系统System sistm n. 系统; 体系wafer-晶圆 die-芯片 attach-粘贴 glue-银胶substrate-基板 magazine-盒子 inspection-检查 parameter-参数manual-操作手册 reset-重设 enter-确定 error-错误input-输入 speed-速度 stop-停止 pressure-压力vacuum-真空 sensor-传感器 back side-背面 pin-针statistics-统计 calibration-校正 bond-贴片 conversion-改机thickness-厚度 tilt-倾斜度 shape-形状 adjust-调整contact-接触 cover-覆盖 device-产品 chip-崩边pause-暂停 elevator-升降机 initial-初始化 alignment-校准cassette-盒子 tape-膜 frame-框架 ring-铁圈temperature-温度 rubber tip-吸嘴 frame type-框架型号nozzle-点胶头 writer-划胶头压焊:Wirewai n.金属丝, 金属线;电线, 导线Bondbnd n.接合, 结合vt.使粘结, 使结合Wire bond / Wiring bonding 压焊/焊丝/球焊Gold wire 金 丝Pad pd vt.给装衬垫, 加垫子n.垫,护垫Bond pad 焊点、铝垫1st bond 第一焊点Pad size 焊点尺寸 / 铝垫尺寸Capillarykpilri n.毛细管;毛细血管(劈刀)Pitchpit 程度; 强度; 高度Pad pitch 铝垫间距 / 焊点间距Elongationi:lein n.延长;延长线;延伸率Breakingbreiki n.破坏,阻断Load lud n.负荷; 负担;工作量, 负荷量Breaking Load 破断力Pullpul vt. & vi.拉, 扯, 拔Sheari vt.剪羊毛, 剪n.大剪刀Wire pull / ball pull (焊丝)拉力Wire shear / ball shear (焊丝)推力Ultrasonic,ltrsnik adj. (声波)超声的Powerpau n.功力, 动力, 功率Forcef:s n.力; 力量; 力气Ultrasonic power 超声功率Bonding force 压力Bonding time 时间Temperaturetemprit n. 温度, 气温Bonding temperature 温度Ultrasonic wire bonding 超声波压焊EFO 打火烧球looplu:p n. 圈, 环, 环状物Loop height 孤高Wire pull test 拉力试验Ball shear test 金球推力试验PIN 1 第一脚Ball height 球高Ball diameter 球径Crateringkreitri n. 缩孔;陷穴(弹坑)KOH etching test KOH腐蚀试验Bond Cratering test 压焊腐蚀试验(弹坑试验)Thermal:ml adj. 热的,热量的Compressionkmpren n.挤压, 压缩TCB( Thermal Compression Bond) 热压焊Bonding Diagram 压焊图 / 布线图Wrong Bonding 布线错误Incomplete,nkmpli:t adj.不完全的,未完成的Incomplete bond 焊不牢No bonding 无焊N2 BOX 氮气柜RTPC 实时过程监控Traytrei n.盘子, 托盘Handing Tray 产品盘FBI 压焊后目检FBI insp-M/C 压焊检验机Microscopemaikrskup n.显微镜Low Power Microscope 低倍显微镜Fluxflks n.熔剂、焊剂;助熔剂,助焊剂Hookhuk vt. & vi.钩住, 吊住, 挂住Wire pull hook 线钩(测拉力)Ball shear tool 推球头 (测推力)Metalmetl n.金属Discolordiskl v.使脱色;(使)变色,(使)褪色Oxideksaid n.氧化物Metal Discolor 铝条变色Bond Pad Discolor 铝垫变色Bond Pad Oxide 铝垫氧化Stickstik vt. & vi.粘贴, 张贴Peelingpi:li n. 剥皮,剥下的皮Crateringkreitri n. 缩孔;陷穴(弹坑)Nonstick bond on pad 铝垫不粘Bond pad peeling 铝垫脱落Bond pad cratering 铝垫弹坑Limitlimit vt.限制; 限定Scratchskrt vt. & vi.抓, 搔,刮伤Over rework limit 超过返工数Bond remove / scratch 剔球划伤Ball bond non-stick 金球脱落Ball to large (small) 金球过大(小)Ball bond short 金球短路Non-stick on lead 引脚脱落(鱼尾脱落)misplace,mispleis vt.把放错位置connectionknekn n. 连接, 联结Misplaced bond on LD 压焊打偏Wire broken 断线Missing wire 漏打Wrong connection 错打defectivedifektiv adj.有缺陷的,欠缺的Defective looping 弧度不良Saggingsi n.下垂沉,陷,松垂,垂度Loop sagging 弧度下陷Low loop 弧度太低High loop 弧度太高Loop short 弧度短路Overhang,uvh vt. 伸出; 悬挂于之上Residuerezidju: n. 剩余, 余渣Distortiondist:n n. 歪曲,曲解Wire overhang on LD 跨越引线框架Wire residue 残丝LF distortion 引线框架变形Quantitykwntiti n.数目, 数量Mismatchmismt vt. 使配错,使配合不当Scrapskrp n.废料vt.废弃, 丢弃Scratchskrt vt. 刮伤Quantity Mismatch 数量不符Empty M. not scrap 空粘未报废Gold Wire Scratch 金丝受损Parameter-参数 Statistics-统计 Utility-应用Teach-教习 Bond tip offset焊线点纠偏 Contact search-接触测高Zoom off center-放大倍数偏心校准 Calibration-校准BQM-焊接质量控制 PRpatterrecognition图像识别Alignment tolerance对点偏差 PR indexing图像控制下的步进Capillary-焊线劈刀 Wire spool送线卷轴Window clamp窗口夹板 Transducer功率换能器 FTN-功能键Wire threading送线器 EFO -电子打火 Linear power -线性马达Vacuum sensor-真空感应器 Step driver步进驱动Post bond inspection焊接后检查 Wire pull拉线Ball shape推球 Ball size焊球大小Ball thickness焊球高度 Loop height线弧高度Loop shape线弧形状 Neck crack线颈折损 Fine adjust 精确调整Conversion 换产品 1st bond non stick第一点不粘2nd bond non stick第二点不粘 peeling-拔铝垫(扯皮)Bond off-脱焊 Ball deformation焊球变形 servo motor伺服电机 weld off-管脚脱焊 crater-裂缝 gold wire-金线 missing ball-球未烧好 weak bond-虚焊塑封:Moldmuld n.模子,铸型vt.浇铸,塑造Moldingmuldi n.成型(塑封)Compoundkmpaund n.复合物, 化合物Moiding M/C;Mold Press 塑封机Presspres n.印刷机Heaterhi:t n.加热器; 炉子Pre-heater 预热机Chaseteis n.追捕, 追猎Mold die / Mold chase 塑封模具MGP mold MGP多缸模具Auto mold 自动包封机loadlud vt. & vi.1把装上车船 2装loaderlud n.装货的人,装货设备,装弹机Auto L / F loader 自动排片机handlerhndl n.(动物)驯化者(抓手)temperaturetemprit n.温度, 气温Pre-heat Temperature 料饼预热温度Mold Temperature 模具温度Clampklmp vt. & vi.夹紧; 夹住n.夹具Pressure pre n. 压(力), 压强Clamp Pressure 合模压强Transfer pressure 注塑压强Transfer trnsf: vt. & vi. 转移; 迁移 n.转移Curing kjuri n.塑化, 固化, 硫化, 硬化Curing time 固化时间Curing temperature 固化温度Pre-heat Time (料饼)预热时间 Transfer speed 注塑速度 Transfer time 注塑时间 PMC time (Post Mold Cure Time) 后固化时间 Load / unload 上料/下料Sweep swi:p vt. & vi.扫, 打扫, 拂去Wire Sweep 冲丝Open 开路 Short 短路Fill fil vt. & vi. (使)充满, (使)装满, 填满Underfillndfil n.(孔型)未充满Body underfilled 胶体未灌满Incomplete ,nkmpli:t adj. 不完全的, 未完成的Incomplete mold 未封满Chip tip n.碎片,缺口Chip package / body chip-out 崩角Porosity p:rsiti n.多孔性,有孔性Porosity Body 胶体麻点Bubble bbl n.泡, 水泡, 气泡Blister blist n.气泡 vt. & vi.(使)起水泡Smear smi vt.弄脏, 弄污 n.污迹, 污斑Surface s:fis n.面, 表面Roough surface 不均匀(表面)Delaminate di:lmneit v.将分层,分成细层Delaminating 分层Void vid adj.空的, 空虚的PKG Void
展开阅读全文
相关资源
相关搜索

当前位置:首页 > 图纸专区 > 大学资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!