基于FPGA和虚拟仪器的DDS信号发生器设计

上传人:机械****计 文档编号:605992 上传时间:2019-07-09 格式:DOCX 页数:5 大小:131.39KB
返回 下载 相关 举报
基于FPGA和虚拟仪器的DDS信号发生器设计_第1页
第1页 / 共5页
基于FPGA和虚拟仪器的DDS信号发生器设计_第2页
第2页 / 共5页
基于FPGA和虚拟仪器的DDS信号发生器设计_第3页
第3页 / 共5页
点击查看更多>>
资源描述
基于 FPGA 和虚拟仪器的 DDS 信号发生器设计2013-11-19 10:21:57 来源:互联网分享到:标签:虚拟仪器 FPGA DDS 信号发生器将虚拟仪器技术同 FPGA 技术结合,设计了一个频率可控的 DDS 任意波形信号发生器。在阐述直接数字频率合成技术的工作原理、电路构成的基础上,分别介绍了上位机虚拟仪器监控面板的功能和结构,以及实现 DDS 功能的下位机 FPGA 器件各模块化电路的作用。经过设计和电路测试,输出波形达到了技术要求,工作稳定可靠。信号发生器是一种常用的信号源,广泛应用于通信、测量、科研等现代电子技术领域。信号发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL)、直接数字合成技术( DDS)。DDS 是开环系统,无反馈环节,输出响应速度快,频率稳定度高。因此直接数字频率合成技术是目前频率合成的主要技术之一。文中的主要内容是采用 FPGA 结合虚拟仪器技术,进行 DDS 信号发生器的开发。1 DDS 工作原理图 1 是 DDS 基本结构框图。以正弦波信号发生器为例,利用 DDS 技术可以根据要求产生不同频率的正弦波。DDS 电路主要由相位累加器、相位调制器、正弦 ROM 查找表、DAC 和低通滤波器构成。其中,相位累加器是整个 DDS 的核心,完成相位累加的功能。下面对相位累加器的输入即相位增量进行分析。对于正弦信号发生器,它的输出可以用下式来描述:Sout=Asint=Asin(2foutt) (1 )其中 Sout 是指该信号发生器的输出信号波形, fout 指输出信号对应的频率。正弦信号的相位:=2foutt.在一个 clk 周期 Tclk,相位 的变化量为:为了用数字化逻辑实现电路,必须对 进行数字量化,把 2 切割成 2N 份,由此每个 clk 周期的相位增量 用量化值 B 来表述:其中 k-1 指前一个 clk 周期的相位值。由上面的推导可以看出,只要对相位的量化值进行简单的累加运算,就可以得到正弦信号的当前相位值,而用于累加的相位增量量化值 B(也叫频率控制字)决定了信号的输出频率 fout,并呈现简单的线性关系。直接数字合成器 DDS 就是根据上述原理而设计的数字控制频率合成器。从本质上看,DDS 是一个恒定高频率运行的多位计数器。在溢出时,通过利用一个多位控制字来设置计数器步进的尺寸,允许计数器过零。计数器的高阶位用来寻址存储设备,该设备保持生成的一个波形周期的数字记录。高频时钟每前进一单位,计数器便步进一次,存储器也将生成一个新的地址字,而新的波形数据值将会发送到 DAC.DDS 主要有 3 个优点:1)输出信号的频率精度可以达到作为发生器参考信号使用的晶体控制振荡器的水平;2 )DDS 发生器可以生成非常高的频率精度;3 )如果有 RAM 波形存储器,那么 DDS 函数发生器可以重现几乎任何波形。2 系统设计该系统采用 LabVIEW 软件完成上位机虚拟仪器的开发,生成正弦波、方波、三角波、手工绘制波形和公式波形等波形数据,实时显示于前面板并通过 VISA 串口将波形数据传送至 FPGA 存储器。下位机采用 Alter 公司的 FPGA 芯片 EP1C3T144C8 开发,通过 VHDL 语言软件式的硬件设计方法完成 DDS 模块开发,根据频率控制字数值读取 ROM 中的波形数据送入 D/A 转换器,最后通过低通滤波器完成平滑滤波输出。2.1 虚拟仪器上位机面板开发通过图形化的虚拟仪器开发工具 LabVIEW 完成上位机的设计,主要功能有波形预览、参数设置、数据传送及数据保存。图 2 为虚拟仪器上位机主面板,通过串口设置窗口选择通信端口,采用 cluster 捆绑各操作类型并通过 case 结构判断所选操作,选择常规波形、公式波形及手绘波形会分别弹出对应的编辑窗口显示波形数据。如图 3 和图 4 所示,为选择常规波形和手绘波形弹出的波形参数设置对话框,设置完相应的波形后,单击 done 按钮确认。设置完波形后,可以点击保存波形数据,把绘制好的波形以二进制文本形式进行保存。2.2 FPGA 下位机开发下位机主要完成 DDS 数字合成器的功能,采用 Ahera 公司的 EP1C3T144C8 芯片,它具有 104 个可供用户自行配置的 I/O 端口,使用 VHDL 语言在 Quartus开发工具中实现。直接数字合成器由 3 部分组成,如图 5 所示。其中:1)ADDER32B 作为 32 位数据加法器,提供可控步进的频率值; 2)REG32B 作为 32 位移位寄存器,与 ADDER32B 一起组成累加器,将接收到的 32 位数据反馈到ADDER32B 完成以外部端口 F 为键控频率字(即上文提到的)的步进;3)ROM 作为读取存储器波形数据,根据步进地址读取存储器中的 10 位长度的波形数据,送入 DA 转换器。3 DDS 信号发生器结果分析图 6 为仿真波形,可以看到,对于不同的频率控制字 F 给出的不同值,对应每一个时钟输出的采样点的步幅变化不同。图 7 为采用 Quartus自带的测试工具SignalTap(嵌入式逻辑分析仪)对设计结果进行分析,如图 7 所示为 F 键控频率字设为16H 时生成的正弦信号。图 8 是在示波器上观察的由 DAC 产生经低通滤波器处理后的真实波形。4 结束语文中采用 LabVIEW 虚拟仪器技术结合 FPGA 技术实现的 DDS 信号发生器,通过利用计算机的强大功能,把传统仪器的设计、编辑都放到计算机上完成,并通过通讯接口传输数据,实现不同波形的输出。通过对系统仿真和实际测试,结果表明该 DDS 信号发生器不仅能产生理想的输出信号,还具有集成度高。稳定性好和扩展性强等优点。
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸设计 > 毕设全套


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!