电子科技大学电子设计及自动第二讲.ppt

上传人:zhu****ei 文档编号:5374552 上传时间:2020-01-27 格式:PPT 页数:19 大小:209KB
返回 下载 相关 举报
电子科技大学电子设计及自动第二讲.ppt_第1页
第1页 / 共19页
电子科技大学电子设计及自动第二讲.ppt_第2页
第2页 / 共19页
电子科技大学电子设计及自动第二讲.ppt_第3页
第3页 / 共19页
点击查看更多>>
资源描述
电子设计自动化技术第二讲VHDL程序的基本结构 本章要点 对VHDL程序的宏观结构有一个明确的概念性认识 认识实体 构造体的基本格式理解实体 构造体在VHDL程序中的基本功能能够尝试编写简单的VHDL程序 VHDL程序组成部分及其功能 VHDL程序由模块构成 每个模块对应于一个电路块 模块至少由三部分组成 库和包library 设计资源 实体entity 外部端口 构造体architecture 内部结构 VHDL程序组成部分 实体用于描述所设计的系统的外部接口信号 构造体用于描述系统内部的结构和行为 配置用于从库中选取不同单元 器件 来组成系统设计的不同版本 包集合存放各设计模块都能共享的数据类型 常数和子程序等 库存放已经编译了的实体 构造体 包集合和配置 库可由系统工程师生成或由ASIC芯片商提供 以便在设计中共享 基本设计单元的构成 实体和构造体两部分组成了VHDL的基本设计单元 实体部分规定设计单元的输入输出接口信号和引脚 构造体部分定义设计单元的具体构造或功能 行为 VHDL程序的基本构成格式 VHDL程序的基本构成格式说明 实体说明和构造体说明合在一起构成是VHDL的基本设计单元 而基本设计单元配上库说明即构成VHDL基本格式 建议 初学者首先使用IEEE库 IEEE STD Logic 1164包 初学者所调用的资源一般不会超出IEEE STD Logic 1164包的范围 而且所有的VHDL编译工具都带有IEEE库 IEEE STD Logic 1164包 实体的结构 ENTITY实体名IS 类属参数说明 端口说明 END实体名 在VHDL中 实体说明单元是一个独立的完整的语言模块 实体说明单元必须按照上述结构来编写 即 实体说明单元应以语句 ENTITY实体名IS 开始 以语句 END实体名 结束 例2 1 二选一选择器的实体说明 ENTITYmuxIS 实体说明开始PORT d0 d1 sel INSTD LOGIC q OUTSTD LOGIC 端口说明ENDmux 实体结束 构造体的结构 ARCHTECTURE构造体名OF实体名IS 定义语句 内部信号 常数 数据类型 函数等的定义BEGIN 并行处理语句 END构造体名 一个完整的构造体由两个基本层次组成 对数据类型 常数 信号 子程序和元件等元素的说明部分 2 描述实体逻辑行为的 以各种不同的描述风格表示的功能描述语句 例2 2 二选一选择器的构造体说明 ARCHITECTUREconnectOFmuxIS 构造体定义BEGIN 构造体开始标记PROCESS d0 d1 sel 进程signaltmp1 tmp2 tmp3 std logic 信号的声明BEGIN 进程开始标记tmp1 d0ANDsel 信号赋值语句tmp2 d1AND NOTsel 信号赋值语句tmp3 tmp1ORtmp2 信号赋值语句q tmp3 信号赋值语句ENDPROCESS 进程结束ENDconnect 构造体结束 例2 2 二选一选择器的构造体说明 续 libraryieee useieee std logic 1164 all entityINVisport x instd logic z outstd logic endINV architecturertlofINVisbeginz notx endrtl 我的处女作 秘籍一 四句话搞定库申明libraryieee useieee std logic 1164 all useieee std logic unsigned all useieee std logic arith all 小语 将这四句话考到写字板下 以后写程序照考 实体基本格式entityentity nameisport signal name modesignal type signal name modesignal type endentity name 实体以entity实体名is开始 以end实体名 结束 实体的主要内容为端口 port 说明 要点 实体名 信号名 信号模式 信号类型实体名 信号名英文字母和数字构成 字母开头 可在名称中使用单个下划线符号 字母不分大小写 名称应具有意义 方便记忆 名称不能重复使用 很多编译器规定 文件名和项目名必须与实体名相同 信号模式每个端口信号都必须规定信号模式 信号模式规定信号流动的方向 常用信号模式 in信号由该端口输入out信号由该端口输出inout双向端口 通常由三态门控制buffer输出端口 但模块内可以使用该信号buffer信号只能定义在两个端口之间 buffer信号连接的端口模式都应为buffer 信号类型 所有信号都必须规定其类型 数字电路设计中最常用的类型为 std logic单个逻辑量std logic vector逻辑数组 总线逻辑量其他语法要点除了第一行entity is以外 每一句以分号 结束 编写程序时 一行可以含若干句 以分号间隔 一句也可以写若干行 在一句结束后 可以用 符号后接说明文字 这些文字用于帮助理解程序 不会对编译产生影响 单词之间必须使用空格 并列信号间使用逗号 作业
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!