直流电机调速控制器设计

上传人:QQ加14****9609 文档编号:215663 上传时间:2018-03-02 格式:DOC 页数:27 大小:1.24MB
返回 下载 相关 举报
直流电机调速控制器设计_第1页
第1页 / 共27页
直流电机调速控制器设计_第2页
第2页 / 共27页
直流电机调速控制器设计_第3页
第3页 / 共27页
点击查看更多>>
资源描述
1、任务书课题名称 直流电机调速控制器设计姓名 学号 承担任务 评分系统仿真及调试总体系统方案设计PWM 脉宽调制信号产生电路的设计控制电路的设计VHDL 程序编写文档编辑撰写设计要求 设计一个直流电机 PWM 调速控制器,并能进行正反转控制。I摘 要在现代工业生产中电机是不可或缺的,电机分为交流电机和直流的电机,而直流电机的主要优点是能够在较大的范围内调速,因此直流电机在生产和生活中也得到广泛的应用。直流电动机转速的控制方法可分为俩类,即励磁控制法与电枢电压控制法。PWM(脉宽调制)是常用的一种调速方法,其基本原理是用改变电机电枢电压的接通和断开的时间比来控制马达的速度,在脉宽调速系统中,当电机通电时,其速度增加,电机断电时,其速度减低。要按照一定的规律改变通、断电的时间,即可使电机的速度达到并保持一稳定值。并且结合 VHDL 语言实现硬件设计软件化。关键字:PWM,直流电机,VHDL0目 录第一章 方案设计 .11.1 直流电机基本结构 .11.2 直流电机调速原理 .11.3 基于 FPGA 的直流电机调速方案 .2第二章 直流电机 PWM 调速控制电路设计 .42.1 总体设计 .42.2 系统工作原理 .42.3 键盘电路设计 .52.4 系统时钟电路设计 .72.5 H 型桥式驱动电路设计 .82.6 电源电路设计 .10第三章 控制逻辑 VHDL 描述 .113.1 FPGA 内部逻辑组成 .113.2 PWM 脉宽调制信号产生电路描述 .113.3 运行控制逻辑电路描述 .15第四章 直流电机 PWM 调速系统仿真 .174.1 FPGA 开发环境的介绍 .174.2 正/反转控制仿真 .184.3 启/停控制仿真 .194.4 加/减速仿真 .194.5 仿真结果分析 .20总 结 .22参考文献 .230第一章 方案设计1.1 直流电机基本结构直流电机是通过两个磁场的互作用产生旋转。固定部分(定子)上,装设了一对直流励磁的静止的主磁极 N 和 S,在旋转部分(转子)上装设电枢铁心。定子与转子之间有一气隙。在电枢铁心上放置了由 A 和 X 两根导体连成的电枢线圈,线圈的首端和末端分别连到两个圆弧形的铜片上,此铜片称为换向片。换向片之间互相绝缘,由换向片构成的整体称为换向器。换向器固定在转轴上,换向片与转轴之间亦互相绝缘。在换向片上放置着一对固定不动的电刷 B1 和B2,当电枢旋转时,电枢线圈通过换向片和电刷与外电路接通。定子通过永磁体或受激励电磁铁产生一个固定磁场,由于转子由一系列电磁体构成,当电流通过其中一个绕组时会产生一个磁场。对有刷直流电机而言,转子上的换向器和定子的电刷在电机旋转时为每个绕组供给电能。通电转子绕组与定子磁体有相反极性,因而相互吸引,使转子转动至与定子磁场对准的位置。当转子到达对准位置时,电刷通过换向器为下一组绕组供电,从而使转子维持旋转运动。直流电机的速度与施加的电压成正比,输出转矩则与电流成正比。由于必须在工作期间改变直流电机的速度,直流电机的控制是一个较困难的问题。直流电机高效运行的最常见方法是施加一个 PWM(脉宽调制)方波,其占空比对应于所需速度。电机起到一个低通滤波器作用,将 PWM 信号转换为有效直流电平。特别是对于微处理器驱动的直流电机,由于 PWM 信号相对容易产生,这种驱动方式使用的更为广泛。1.2 直流电机调速原理所谓脉冲宽度调制是指用改变电机电枢电压接通与断开的时间的的占空比来控制电机转速的方法,称为脉冲宽度调制(PWM)。对于直流电机调速系统,使用 FPGA 进行调速是极为方便的。其方法是通过改变电机电枢电压导通时间与通电时间的比值(即占空比)来控制电机速度。PWM 调速原理如图 1.1 所示。在脉冲作用下,当电机通电时,速度增加;电机断电时,速度逐渐减少。1只要按一定规律,改变通、断电时间,即可让电机转速得到控制。设电机永远接通电源时,其转速最大为 Vmax,设占空比为 D=t1/T,则电机的平均速度为 Vd=VmaxD 式中,Vd电机的平均速度Vmax电机全通时的速度(最大)D=t1/T占空比 平均速度 Vd 与占空比 D 的函数曲线,如图 1.2 所示。最大值 V m a x平均值 V d最小值 V m i n t 1 t 2T图 1.1 PWM 调速原理电压( V )时间 ( t )通电 断电t 1t 2T0平均速度V dV m a x00 . 51占空比 ( D )图 1.2 平均速度和占空比的关系由图 1.2 所示可以看出,Vd 与占空比 D 并不是完全线性关系(图中实线) ,当系统允许时,可以将其近似地看成线性关系(图中虚线) 。因此也就可以看成电机电枢电压 Ua 与占空比 D 成正比,改变占空比的大小即可控制电机的速度。由以上叙述可知:电机的转速与电机电枢电压成比例,而电机电枢电压与控制波形的占空比成正比,因此电机的速度与占空比成比例,占空比越大,电机转得越快,当占空比 1 时,电机转速最大。21.3 基于 FPGA 的直流电机调速方案E N 1U _ DC L K 2数字比较器+-P W M 波形输出N E T 1N E T 0N E T 0N E T 1MZFV C CV 1V 2V 3V 4设定值计数器锯齿波发生器C L K 0旋转方向控制电路Z / FS T A R TF P G A图 1.3 基于 FPGA 的直流电机调速系统如图 1.3 所示为基于 FPGA 的直流电机调速方案的方框图,用 FPGA 产生PWM 波形,只需要 FPGA 内部资源就可以实现,如数字比较器、锯齿波发生器等均为 FPGA 内部资源,我们只要直接调用就可以。外部端口U_D、EN1 、Z/F、START 接在键盘电路上,CLK2 和 CLK0 接在外部时钟电路上,所用到的时钟频率为 100MHz 和 50MHz,其具体的连接方式如图 2.1。其工作原理是:设定值计数器的设置 PWM 的占空比。当 U/D=1 时,输入CLK2,使设定值计数器的输出值增加, PWM 的占空比增加,电机转速加快;当 U/D =0 时,输入 CLK2,使设定值计数器的输出值减小,PWM 的占空比减小,电机转速变慢。在 CLK0 的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时,数字比较器输出高电平;当计数值大于设定值时 ,数字比较器输出低电平,由此产生周期性的 PWM 波形。旋转方向控制电路控制直流电动机转向和启/停 ,该电路由两个 2 选 1 的多路选择器组成,Z/F 键控制选择 PWM 波形是从正端 Z 进入 H 桥,还是从负端 F 进入 H 桥,以控制电机的旋转方向。当 Z/F=1 时,PWM 输出波形从正端 Z 进入H 桥,电机正转。当 Z/F =0 时,PWM 输出波形从负端 F 进入 H 桥,电机反转。Start 键通过“ 与” 门控制 PWM 输出,实现对电机的工作停止/控制。当 START=13时,与门打开,允许电机工作。当 START=0 时,与门关闭,电机停止转动。H 桥电路由大功率晶体管组成,PWM 输出波形通过方向控制电路送到 H 桥, 经功率放大以后对直流电机实现四象限运行。并由 EN1 信号控制是否允许变速。4第二章 直流电机 PWM 调速控制电路设计2.1 总体设计如图 2.1 所示,基于 FPGA 的直流电机 PWM 控制电路主要由四部分组成:控制命令输入模块、控制命令处理模块、控制命令输出模块、电源模块。键盘电路、时钟电路是系统的控制命令输入模块,向 FPGA 芯片发送命令,FPGA芯片是系统控制命令的处理模块,负责接收、处理输入命令并向控制命令输出模块发出 PWM 信号,是系统的控制核心。控制命令输出模块由 H 型桥式直流电机驱动电路组成,它负责接收由 FPGA 芯片发出的 PWM 信号,从而控制直流电机的正反转、加速以及在线调速。电源模块负责给整个电路供电,保证电路能够正常的运行。S B 1S B 4S B 3S B 2+ 5 VR1 0 K开始设定初值加速 / 减速正 / 反向7 4 l S 0 61111S T A R TE NZFC L K 2C L K 0U _ DZ _ FF P G AD CV i n V o u tG N DV i n V o u tG N Dc 3470FC 40.1FC 50.1FC 610FC 710FC 80.1F7 8 1 2+ 1 2+ 57 8 0 5N E T 0N E T 1MV C CV 1V 2V 3V 4N E T 0N E T 1C P1 DC 1V C C1234H O - 1 2图 2.1 FPGA 直流电机 PWM 控制电路2.2 系统工作原理在图 2.1 中所示的 FPGA 是根据设计要求设计好的一个芯片,其内部逻辑电路如图 3.1。START 是电机的开启端,U_D 控制电机加速与减速,EN1 用于设定电机5转速的初值,Z_F 是电机的方向端口,选择电机运行的方向。CLK2 和 CLK0是外部时钟端,其主要作用是向 FPGA 控制系统提供时钟脉冲,控制电机进行运转。通过键盘设置 PWM 信号的占空比。当 U_D=1 时, 表明键 U_D 按下,输入CLK2 使电机转速加快;当 U/D =0,表明键 U_D 松开,输入 CLK2 使电机转速变慢,这样就可以实现电机的加速与减速。Z_F 键是电机运转的方向按键,当把 Z_F 键按下时,Z_F=1,电机正转;反之 Z/F =0 时,电机反转。START 是电机的开启键,当 START=1,允许电机工作;当 START=0 时,电机停止转动。H 桥电路由大功率晶体管组成,PWM 输出波形通过由两个二选一电路组成的方向控制电路送到 H 桥, 经功率放大以后对直流电机实现四象限运行。并由 EN1 信号控制是否允许变速。2.3 键盘电路设计本设计系统的命令输入模块是键盘电路和时钟电路,通过以按键的方式向FPGA 控制系统表达人的命令来实现直流电机的正转、反转、停止和加减速,实现人机互换。下面就对键盘电路和时钟电路的类型以及工作原理分别进行论述。键盘电路有两种类型,其中一种是独立式键盘电路。独立式键盘电路结构简单、操作方便,在目前这种结构的键盘应用还非常普遍。只是这种键盘电路的每个按键都要占用一根 I/O 口线,这样的话,随着按键的增加将使 I/O 口线不足。因此,这种键盘电路只有在按键比较少的情况下比较适用。另一种键盘电路是矩阵式键盘电路,这种键盘电路的按键设置在行线和列线的交叉点上,因此在有限的 I/O 口线上可以设置比较多的按键。只是这种键盘电路结构、编程都比较复杂。在键盘电路中,往往可以与一个与非门电路构成带中断的键盘电路。这种键盘电路上的每个按键可以单独工作,而且响应时间快。这种带中断式的键盘电路现在应用已经相当的普遍。如图 2.2 所示,所采用的键盘电路是独立式键盘电路。其 4 个功能键SB1SB4 连线分别接在 FPGA 控制系统的 4 个端口上,并分别往上各引一条6接线串一个 1K的上拉电阻接在+5V 电源上。当 4 个键都没有被按下去时,对应的各条列线全部为高电平,在 CMOS 非门的作用下每个端口的电平为低电平。其中一个按钮按下去时,其对应的输出端口在非门的作用下由低电平变为高电平,从而启动相应的功能。S B 1S B 4S B 3S B 2+ 5 VR1 0 K开始设定初值加速 / 减速正 / 反向7 4 l S 0 61111S T A R TE N 1U _ DZ _ F图 2.2 键盘电路在键盘电路设计中,需要解决按键抖动的问题。多数键盘的按键均采用机械弹性开关,一个电信号通过机械触点的断开、闭合过程,完成高低电平的切换。由于机械触点的弹性作用,一个按键开关在闭合和断开的瞬间必然伴随一连串的抖动。为了排除抖动的影响,在按键和输出端并上一个电阻、一个电容。如图 2.3 所示。S B 11R1 0 KC2 . 0 7 4 L S 0 6V C C图 2.3 滤波防抖动电路由图可知,当键 SB1 未按下时,电容 C 两端的电压均为 1,非门输出为0。当键 SB1 按下时,由于 C 两端电压不可能产生突变。尽管接触过程中可能出现抖动,只要适当的选择 R 和 C 值,即可保证电容 C 两端的放电电压波动不7会超过非门的开启电压(TTL 为 0.8V) ,非门的输出将维持低电平。同理,当触点 K 断开时,由于电容 C 经过 R2 充电,C 两端的充电电压波动不会超过非门的关闭电压,因此,非门的输出也不会改变,从而达到防抖动的效果。2.4 系统时钟电路设计FPGA 是在系统时钟脉冲作用下进行的,在 FPGA 应用系统中,要求采用石英晶振作为时钟脉冲,如图 2.4 所示,是采用有源石英晶振构成的系统时钟电路。在该电路中,1 脚悬空,2 脚接地,3 脚接输出,4 脚接电源。3 脚时钟脉冲输出后接在 FPGA 的 CLK0 时钟端,另一路经二分频电路进行分频后接在CLK2 时钟端。在 CLK0 和 CLK2 的共同作用下,系统进行工作。时钟输入是系统电路中必不可少的一部分,它能为 FPGA 提供时钟脉冲信号,考虑到 EDA 开发系统时钟输入的重要性,一个是 50MHz 的有源晶振作为时钟信号源输入,主要用于输入大的时钟信号,为波形发生器提供基准的时钟脉冲输入。C P1 DC 1V C C1234H O - 1 2C L K 2C L K 0图2.4 时钟电路图有源晶振的驱动能力强,晶振频率比较大,能达到几百兆 Hz,采用有源晶振作为时钟源可以使电路的时钟扩大。HO-12 系列的有源晶振采用TTL/HCMOS 技术,频率范围是 1000Hz-1000MHz,这里我们采用的是 100MHz的有源晶振。把 D 触发器的输出 反馈回输入端与 D 连接就形成一个二分频电路,如图_Q2.5 所示,从波形图可以看出 Q 输出的波形将是 CP 脉冲周期的两倍,即频率是为 CP 脉冲的一半。8QC P1 DC 1C PQ01012.5 D 触发器接成二分频电路2.5 H 型桥式驱动电路设计直流电机驱动电路使用最广泛的就是 H 型全桥式驱动电路,这种驱动电路可以很方便实现直流电机的四象限运行,分别对应正转、正转制动、反转、反转制动。它的基本原理图如图 2.6 所示。N E T 0N E T 1MV C CV 1V 2V 3V 4N E T 0N E T 1图 2.6 H 型全桥式驱动电路H 型全桥式驱动电路的 4 只三极管都工作在斩波状态, V1、V4 为一组,V2、V3 为另一组,两组的状态互补,一组导通则另一组必须关断。当 V1、V4导通时,V2、V3 关断,电机两端加正向电压,可以实 现电机的正转或反转制动;当 V2、V3 导通时,V1、V4 关断,电机两端为反向电压,电机反转或正转制动。在直流电机运转的过程中,我们要不断地使电机在四个象限之间切换,即在正转和反转之间切换,也就是在 V1、V4 导通且 V2、V3 关断,到 V1、V4关断且 V2、V3 导通,这两种状态之间转换。在这种情况下,理论上要求两组控制信号完全互补,但是,由于实际的开关器件都存在开通和关断时间,绝对的互补控制逻辑 必然导致上下桥臂直通短路,比如在上桥臂关断的过程中,下桥臂导通了。这个过程可用图 2.7 说明。9上桥臂导通下桥臂导通上下导通ttt图 2.7因此,为了避免直通短路且保证各个开关管动作之间的同步性,两组控制信号在理论上要求互为倒相的逻辑关系,而实际上却必须相差一个足够的死区时间,这个矫正过程既可以通过硬件实现,即在上下桥臂的两组控制信号之间增加延时。 驱动电流不仅可以通过主开关管流通,而且还可以通过续流二极管流通。当电机处于制动状态时,电机便工作在发电状态,转子电流必须通过续流二极管流通,否则电机就会发热,严重时烧毁。开关管的选择对驱动电路的影响很大,开关管的选择宜遵循以下原则:(1)由于驱动电路是功率输出,要求开关管输出功率较大(2)开关管的开通和关断时间应尽可能小(3)直流电机使用的电源电压不高,因此开关管的饱和压降应该尽量低 在实际制作中,我们可选用大功率达林顿管 TIP122 或场效应管 IRF530,效果都还不错。现在为了取材方便,我们选用三极管作为驱动电路的开关管。从前面的分析可知,H 型全桥式驱动电路中,由于开关管有开通和关断时间,因此存在上下桥臂直通短路的问题。直通短路的存在,容易使开关管发热,严重时烧毁开关管,同时也增加了开关管的能量损耗。由于现在的许多集成驱动芯片内部已经内置了死区保护(如 LMD18200) ,这里主要介绍的是利用开关管等分立元件以及没有死区保护的集成芯片制作驱动电路时增加死区的方法。死区时间的问题,只有在正转变为反转或者反转变为正转的时候才存在,而在正转启动或反转启动的时候并没有,因此不需要修正。如果开关管的开通10和关断时间非常小,或者在硬件电路中增加延时环节,都可以降低开关管的损耗和发热。当然,通过软件避免直通短路是最好的办法,它的操作简单,控制灵活。通过软件实现死区时间,就是在突然换向的时候,插入一个延时的环节,待开关管关断之后,再开通应该开通的开关管。在开关管每次换向的时候,不立即进行方向的切换,而是先使开关管关断一段时间,使其完全关断后再换向打开另外的开关管。这个关断时间由软件延时实现。以上主要分析了电机的全桥式驱动电路,这是直流电机调速使用最多的调速方法。目前市场上有很多种电机驱动的集成电路,效率高,电路简单,使用也比较广泛,但是其驱动方法大多与全桥式驱动一样。PWM 控制方法配合桥式驱动电路,是目前直流电机调速最普遍的方法。2.6 电源电路设计由于电机在正常工作时对电源的干扰很大,如果只用一组电源时会影响系统的正常工作,所以我们选用双电源供电。一组 5V 给控制电路供电, 另外一组 12V 给电机供电。如图 3.8 所示。电源部分分为两路,一路直接提供 12 伏的直流电源,主要是提供给电机使用,另一路通过三端稳压芯片 7805 稳压成 5 伏直流电源提供给键盘电路和时钟电路使用,右边两个电容是 5 伏电源的滤波电容,绿色的 LED作为工作指示灯,只要电源部分正常,绿色的 LED 就会点亮,我们可以根据这个 LED 来判断整个电源部分是否工作正常。D CV i n V o u tG N DV i nV o u tG N Dc 3470FC 40.1FC 50.1FC 610FC 710FC 80.1F7 8 1 2+ 1 2+ 57 8 0 52.8 电源电路11第三章 控制逻辑 VHDL 描述3.1 FPGA 内部逻辑组成图 3.1 FPGA 直流电机 PWM 控制电路由图 3.1 可以看出电机控制逻辑模块由 PWM 脉宽调制信号产生电路、方向控制电路组成。其中 PWM 脉宽调制信号产生电路由可控的加减计数器CNTA、5 位二进制计数器 CNTB、数字比较器 LPM_ COMPARE 三部分组成,方向控制电路由两个二选一电路 21MUX 组成。3.2 PWM 脉宽调制信号产生电路描述PWM 脉宽调制信号产生电路由可控的加减计数器 CNTA、5 位二进制计数器 CNTB、数字比较器 LPM_COMPARE 三部分组成。可控的加减计数器做细分计数器,确定脉冲宽度。当 U/D=1 时,输入 CLK2,使设定值计数器的输出值增加,PWM 的占空比增加,电机转速加快;当 U/D =0,输入 CLK2,使设定值计数器的输出值减小,PWM 的占空比减小,电机转速变慢。 5 位二进制计数器在CLK0 的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时,数字比较器输出高电平;当计数值大于设定值时,数字比较器输出低电12平,由此产生周期性的 PWM 波形。其内部逻辑图如图 3.2 所示。图 3.2 FPGA 中的 PWM 脉宽调制信号产生电路可控的加减计数器 CNTA 中的端口 U_D 控制计数器的方向,EN1 是计数器的使能端,控制计数器初值的变化。U_D=1 时,加减计数器 CNTA 在脉冲CLK2 的作用下,每来一个脉冲,计数器 CNTA 加 1,U_D=0 时,每来一个脉冲,计数器 CNTA 减 1。使能端 EN1 设定计数器值的初值,当 EN1 由 1 变为0 的时候,无论 U_D 如何表化,计数器的值都不会发生变化,这样就完成了计数器的设定值,其仿真波形如图 3.3 所示,其 VHDL 语言如下。LIBRARY IEEE;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNTA ISPORT(CLK:IN STD_LOGIC;U_D:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(4 DOWNTO 0);END CNTA;ARCHITECTURE behav OF CNTA ISSIGNAL CQI:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGINPROCESS(CLK)13BEGINIF CLKEVENT AND CLK=1THENIF U_D=1 THENIF CQI=31 THEN CQI=11111;ELSE CQI=CQI+1; END IF;ELSIF CQI=0 THEN CQI=00000;ELSE CQI=CQI-1;END IF;END IF;END PROCESS;CQ=CQI;END behav;图 3.3 细分计数器的仿真波形CNTB 是一个简单的 5 位二进制计数器,它的工作原理和 CNTA 的原理很相似,我们只是在 CNTA 的时钟端加了一个使能端 EN1 控制其加减的方向。而CNTB 的时钟端没有加使能端,所以每来一个脉冲计数器加 1,因为 CNTB 是一个 5 位的二进值计数器,所以当计数器的值当大于 32 时,计数器又重新从 0开始记数,从而产生周期性的线性增加的锯齿波。其仿真波形如图 3.4,其VHDL 语言如下。ENTITY CNTB ISPORT(CLK: IN BIT;Q:BUFFER INTEGER RANGE 31 DOWNTO 0);END;ARCHITECTURE BHV OF CNTB ISBEGIN PROCESS(CLK)BEGIN14IF CLKEVENT AND CLK=1 THENQ=Q+1;END IF;END PROCESS;END BHV;图 3.4 5 位二进制计数器仿真波形数字比较器是产生 PWM 波形的核心组成部件,可控的加减计数器 CNTA和 5 位二进制计数器 CNTB 同时加数字比较器 LPM-COMPARE 两端作为两路输入信号,当计数器 CNTB 输出值小于细分计数器 CNTA 输出的规定值时, 比较器输出高电平; 当 CNTB 输出值大于细分计数器 CNTA 输出的规定值时, 比较器输出低电平。改变细分计数器的设定值, 就可以改变 PWM 输出信号的占空比。为了便于观察防真波形,在 CNTB 的输出加上 B4.0,仿真波形如图3.5。图 3.5 数字比较器的仿真波形细分计数器 CNTA 是一个双向计数器, 可以进行加减计数 ,由 U_D 控制其加/减计数方向, CLK 是计数时钟输入端。为了便于连续变速控制 , 在计数器的CLK 端通过“与”门, 加入了 CLK2 外部变速控制附加时钟 , 并由 EN1 信号控制是否允许变速。在本次设计中直流电机转速进行了 32 级细分。其仿真波形如图 3.6,细分计数器的初值设为 08H,也就是十进值的 8,当计数器 CNTB 的值小于 8 时,AGB 输出高电平,当计数器 CNTB 的值大于 8 时,AGB 的输出值15为低电平,从而产生 PWM 波形。图 4.6 A4.0=08H 时电机加速 PWM 波形通过改变细分计数器的值就可以改变 PWM 的占空比,从而改变直流电机的速度。在图 3.6 中占空比 D=8/32=0.25,在图 3.7 中占空比 D=4/32=0.125。通过以上两组数据比较以及分析仿真波形我们可以看出,只要改变使能端电平的高低,便可以改变细分计数器的值,也就是改变细分计数器 CNTA 的初值,从而可以改变直流电机的占空比,改变直流电机的速度。图 3.7 A4.0=04H 时电机减速 PWM 波形调节 PWM 波的占空比是电机调速的重要手段,若脉宽计数器 CNTA 的值逐渐增大,输出脉冲的开启时间变大,PWM 占空比逐渐变大,功率器件输出给电机电枢的能量增加,电机加速。若脉宽计数器定时器 CNTA 的值减小,输出脉冲的开启时间变小,PWM 占空比逐渐变小,功率器件输出给电机电枢的能量减少,电机减速。当电机得到加速信号,占空比增大至它可调范围的最大值后保持,电机得到减速信号,占空比减小至它的可调范围的最小值后保持。3.3 运行控制逻辑电路描述如图 3.8 所示 FPGA 中的工作/ 停止控制和正/ 反转方向控制电路,其两个二选一多路选择器加上两个与门根据逻辑原理组合而成。START 键通过“与” 门控16制 PWM 输出,实现对电机的工作/停止控制。当 START 端接高电平时,表示电源接通,电机开始运转;当 START 端接低电平时,电机停止运转。Z/F 键控制选择 PWM 波形是从正端 Z 进入 H 桥,还是从负端 F 进入 H 桥,以控制电机的旋转方向。当 Z/F=1 时 PWM 输出波形从正端 Z 进入 H 桥,电机正转。当 Z/F =0 时 PWM 输出波形从负端 F 进入 H 桥,电机反转。仿真如图 3.9 所示。图 3.8 FPGA 中的工作/ 停止控制和正/反转方向控制电路图 3.9 正/反转工作控制电路波形图 3.10工作/停止电路波形当 START=1 时,与门打开,允许电机工作。当 START=0 时,与门关闭,电机停止转动。仿真如图 3.10 所示。17第四章 直流电机 PWM 调速系统仿真4.1 FPGA 开发环境的介绍MAX+Plus II(MuliPtle Array Martix and Programmxnaable Logie User System)是 ALTERA 公司推出的具有完全集成化、可视化的设计环境,具有工业标准EDA 工具接口,可运行于多种操作系统。MAX+Plus II 提供了一种与结构无关的设计环境,设计人员无须精通器件内部结构,只需运用自己熟悉的输入工具进行设计,就可以通过 MAX+Plus II 把这些设计转换为最终结构所需要的格式。MAX+Plus II 提供丰富的逻辑功能供设计人员调用,其中包括 74 系列全部器件的等效宏功能库和多种特殊的宏功能(MacorFunctino)模块以及参数化的宏功能(Mageufnctino)模块。MAX+PlusH 还具有开放核的特点,允许设计人员添加自己的宏功能模块。充分利用这些逻辑功能模块,可以大大减轻设计的工作量,成倍缩短开发周期。Altera 公司的 MAX+plus II 有以下特点:开放的界面MAX+plus II 软件可与其他工业标准的设计输入、综合与校验工具相连接,支持与 Candence、Synopsys、Viewlogic 等其它公司所提供的EDA 接口。完全集成化MAX+plus II 的设计输入、处理与校验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。丰富的设计库MAX+plus II 提供丰富的库单元供设计者调用,其中包括 74 系列的全部器件、大量的数字器件和新型参数化的宏函数,大大减轻了设计人员的工作量。硬件描述语言MAX+plus II 软件支持各种 HDL 设计输入选项,包括VHDL、verilog HDL 和 Altera 公司自己的硬件描述语言 AHDL。开放核特性MAX+plus II 软件具有开放核的特点,它允许设计人员添加自己认为有价值的宏函数。MAX+plus II 软件的设计输入方法有多种,主要包括原理图输入方式、文本设计输入方式、波形设计输入方式等。(1) 原理图输入与符号编辑。利用 MAX+plus II 提供的各种原理图库进行18设计输入是一种最为直接的输入方式。用这种方式输入时,为提高效率,应采用自顶向下逻辑分块,把大规模的电路划分成若干小块的方法。(2) 硬件描述语言输入。MAX+plus II 包含一个集成的 Text Editor(文本编辑程序),适合于输入和编辑用 VHDL 语言编写的设计文件。(3) MAX+plus II Waveform Editor(波形编辑程序 )用于建立和编辑波形文件及输入仿真向量和功能测试向量,适合于时序和重复的函数。设计人员可以根据自己的实际情况灵活的选择 MAX+plus II 软件的输入方式。4.2 正/反转控制仿真键盘 Z_F 是电机的方向控制键。当要求电机正转时,只需要按下键 Z_F,表示 Z_F 输出高电平,即 Z_F=1,电机正转,如图 4.1 所示。当键 Z_F 松开时,Z_F 0 时,电机反转,如图 4.2、图 4.3 所示。图 4.1 电机正转图 4.2 电机反转图 4.3 电机正反转194.3 启/停控制仿真START 键是电机的启动键,当按下 START 键时,START=1,电机进入运行状态,如图 4.4 所示。反之,START=0 时,电机停止,如图 4.5、图 4.6 所示。图 4.4 启动仿真波形图 4.5 停止仿真波形图 4.6 启/停仿真波形4.4 加/减速仿真键盘 EN1 控制电机是否允许变速。所以通过改变 EN1 便可以改变设定值H4.0的值,也就是设定值的初值,从而改变了直流电机的占空比,改变直流电机的速度,达到调速的目的。因为 CNTB 是 5 位的计数器,所在本设计中直流电机转速细分为 32 级。如图 4.7 的占空比为 2/32=0.0625,同理通过按键 EN1 该变 H4.0的值便得到如图 4.8、4.9 的 PWM 仿真波形,其占空比依次为 0.125、0.25,也就是占空比增大,电机的速度增加。根据以上的数据比较与仿真波形的分析可以看出,电机的速度在逐渐的增加。所以通过改变 EN1 的值可以改变直流电机的 PWM 占空比,从而改变直流电机的速度。20图 4.7 H4.0=02H 仿真波形图 4.8 H4.0=04H 仿真波形图 4.9 H4.0=08H 仿真波形4.5 仿真结果分析通过 5.2 到 5.5 的仿真波形分析可知,本设计中的各项功能够很好的实现。在时钟脉冲的作用下,计数器 CNTA 和 CNTB 都能按照事先设定好的规则进行计数。CNTA 是可控的加减计数器,U_D 控制其计数的方向,EN1 用于设定其初值,当 NE1 由高电平变为低电平时,就完成了设定值。CNTB 是 5 位二进制计数器,其在时钟脉冲 CLK0 的作用下一直加数,当它加到 32 时就自动返回到0 再重新加数。两路计数器同时加到数字比较器 LMP_COMPARE 上,当 CNTB的值小于设定值时,数字比较器输出高电平,当 CNTB 的值大于设定值时,数字比较器输出低电平。因此改变设定值的大小就可以改变 PWM 波形的大小,也就是完成了电机的调速。Z_F 是电机的方向按键,选择 PWM 波形的进入方向,当其为 1 时,电机正转,反之,反转。至于电机的控制,是在它的输入端加上两个与门来控制电机的启动与停止。其具体的操作如下:当按下键 Z_F 键时,电机正转(如图 4.1) ,松开键时,电机反转(如图4.2) 。当按下键 START 时,电机开始工作(如图 4.4) ,松开时,电机停止工作(如图 4.5) 。通过按键 EN1 的闭合与断开可以改变 H4.0的值(如图4.7、4.8、4.9)从而改变直流电机的 PWM 占空比,达到改变直流电机速度的目的。本设计采用 VHDL 设计 FPGA 脉宽调制控制方案, 计算机仿真和对直流电21机控制的结果表明,该电路能有效地产生 PWM 控制信号控制电机的转速, 控制精度由 FPGA 中的数字比较器决定。在本设计中,采用的数字比较器为 5 位, 若增加数字比较器的位数, 就可以提高电机转速的控制精度。电路中省去了 D/A 转换器使电路变得更加简洁 , 同时也降低控制器的成本。FPGA 内部采用状态机结构, 遇到干扰时, 能很快从异常状态转入正常工作状态, 保证了控制系统具有高的可靠性。从以上的仿真中可以看出,基于 FPGA 的直流电机的控制能够达到很好的预期效果。22总 结EDA 技术是电子设计的发展趋势,利用 EDA 工具可以代替设计者完成电子系统设计中的大部分工作。EDA 工具从数字系统设计的单一领域,发展到今天,应用范围己涉及模拟、微波等多个领域,可以实现各个领域电子系统设计的测试、设计仿真和布局布线等,这些都是我在这次课设中深刻体会到的。在这次课程设计的一周时间里,虽然在过程中遇到很多问题,但通过大家的共同努力很好的解决了这些问题,并最终完成了本次课程设计。在这次设计中我们收获了很多,首先最直接的收获就是我们巩固了这门课程所学过的知识,把它运用到了实践当中,并且学到了很多在书本撒和那个所没有学到的知识,通过查阅相关资料进一步加深了对 EDA 的了。总的来说,通过这次课程设计不仅锻炼了我们的动手和动脑能力,也使我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,要把所学的理论知识与实践相结合起来,才能提高自己的实际动手能力和独立思考的能力。23参考文献1 阎石.数字电子技术基础 (第五版)M.高等教育出版社2 谢运祥.可编程逻辑器件的发展及其应用前景R.高等教育出版社3 张广益.郭前刚 .电机学M.重庆.重庆大学出版社.20064 潘松,黄继业 .DEA 技术实用教程M. 北京科学出版社 .20025 甘历编著 .VHDL 应用与开发实践M. 北京科学出版社.20036 崔建明编 .电工电子EDA技术M. 高等教育出版社 .2006
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸设计 > 毕设全套


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!