数子电路基础习题及答案.doc

上传人:s****u 文档编号:12814021 上传时间:2020-05-26 格式:DOC 页数:47 大小:3.13MB
返回 下载 相关 举报
数子电路基础习题及答案.doc_第1页
第1页 / 共47页
数子电路基础习题及答案.doc_第2页
第2页 / 共47页
数子电路基础习题及答案.doc_第3页
第3页 / 共47页
点击查看更多>>
资源描述
习题答案第三章 门电路一、 填空1. 用以实现 基本逻辑运算和复合逻辑运算 的单元电路称为门电路。2. 常用的门电路在逻辑功能上有 与门、或门、非门、与非门、或非门、异或门 等几种。3. 正逻辑是指 以高电平表示逻辑1,低电平表示逻辑0 。4. 负逻辑是指 以低平表示逻辑1,高电平表示逻辑0 。5. 反相器的输入特性是指 从反相器输入端看进去的输入电压与输入电流的关系 。6. 反相器的输出特性是指 从反相器输出端看进去的输出电压与输出电流的关系 。7. 两个OD与非门线与后,实际的逻辑关系是 与或非 。8. 噪声容限示意图如下图所示。反相器输入为高电平时的噪声容限公式是 VNH=VOH(min)-VIH(min) ,低电平时的噪声容限公式是VNL=VIL(max)-VOL(max) 。9. TTL门电路的输入端负载特性用公式表达为 。10. 三态门的输出是 高电平 、 低电平 和 高阻态 。二、 输入信号的高、低电平分别是5V和0V,R1为3.3 k,R2为10 k,RC为1 k,VCC为5V,VEE为-8V,三极管的为20,饱和压降与饱和导通时的内阻忽略。计算输入高、低电平时对应的输出电平。答案:当vI=VIL=0V时,发射结反偏,三极管截止,iC=0,vO=VCC=5V。当vI=VIH=5V时,深度饱和时三极管的基极电流为满足iBIBS,故三极管处于深度饱和状态,vO0V。三、分析图示电路的逻辑功能。答案:详见教材P116四、输入电压波形如图所示,试画出电路的输出电压波形。答案: 五、已知图中各门电路都是74系列门电路,指出各门电路的输出是什么状态。答案:Y1为高电平;Y2为低电平;Y3为低电平;Y4为低电平。六、74系列TTL与非门组成如图电路。试求前级门GM能驱动多少个负载门?门GM输出高电平VOH3.2V,低电平VOL0.4V,输出低电平时输出电流最大值IOLmax=16 mA,输出高电平时输出电流最大值IOHmax= -0.4mA, 与非门的电流IIL-1.6mA,IIH0.04 mA。答案:在满足VOL0.4V的条件下,求得可驱动的负载门数目为在满足VOH3.2V的条件下,求得可驱动的负载门数目为因此GM最多能驱动5个同样的与非门。七、上题中,若门均为74系列TTL或非门,而其它条件不变,门的参数与上题相同,那么前级门GM能驱动多少个负载门?答案:在满足VOL0.4V的条件下,求得可驱动的负载门数目为在满足VOH3.2V的条件下,求得可驱动的负载门数目为因此GM最多能驱动5个同样的或非门。八、计算图中上拉电阻RL的阻值范围。前级输出门均为74LS系列OC门,电源VCC=5V,输出高电平VOH3.2V,输出低电平VOL0.4V。输出管截止时漏电流IOH0.1mA,低电平输出时允许的最大负载电流IOL(max)=8 mA,后级负载门为74系列TTL与非门,输入电流IIL-0.4mA,IIH0.02 mA。答案:RL 的最大允许值为 RL 的最小允许值为 故RL 的取值范围应为 。九、计算图中上拉电阻RL的阻值范围。前级输出门均为74LS系列OC门,电源VCC=5V,输出高电平VOH3.2V,输出低电平VOL0.4V。输出管截止时漏电流IOH0.1mA,低电平输出时允许的最大负载电流IOL(max)=8 mA,后级的74系列TTL或非门,输入电流IIL-0.4mA,IIH0.02 mA;后级的74系列TTL非门,输入电流IIL-0.4mA,IIH0.02 mA。答案:RL 的最大允许值为 RL 的最小允许值为 故RL 的取值范围应为 。十、三个三态门的输出接到数据总线上,如图所示。(1)简述数据传输原理。(2)若门G1发送数据,各三态门的使能端子应置何种电平?答案:(1)数据传输原理:工作过程中控制各个反相器的EN端轮流等于1,而且任何时候仅有一个等于1,便可轮流把传输到各个反相器输出端的信号送到总线上,而互不干扰。(2)若门G1发送数据,各三态门的使能端子应置于EN1=1,EN2=EN3=0。习题答案第四章 组合逻辑电路一、填空1. 数字电路分成两大类,一类是 组合逻辑电路 ,另一类是 时序逻辑电路 。2. 组合逻辑电路在逻辑功能上的共同特点是 任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关 。3. 组合逻辑电路的分析是指 由给定的逻辑电路,通过分析找出电路的逻辑功能来 。4. 组合逻辑电路通常采用的设计方法分为 进行逻辑抽象 、 写出逻辑函数式 、 选定器件类型 、 将逻辑函数化简或变换成适当的形式 和 由化简或变换后的逻辑函数式,画出逻辑电路图 五个步骤。5. 逻辑状态赋值是指 以二值逻辑的0、1两种状态分别代表输入变量和输出变量的两种不同状态 。6. 编码器的逻辑功能是 将输入的每一个高、低电平信号编成一个对应的二进制代码 。7. 译码器的逻辑功能是 将每个输入的二进制代码译成对应的输出高、低电平信号或另外一个代码 。8. 用具有n位地址输入的数据选择器,可以产生任何形式输入变量数不大于 n+1 的组合逻辑函数。9. 竞争是指 门电路两输入信号同时向相反的逻辑电平跳变的现象 。10.竞争-冒险是指 由于竞争而在电路输出端可能产生尖峰脉冲 的现象。二、分析图示电路的逻辑功能。在保证逻辑功能不变的情况下,此电路可否用非门和与非门构成,试画出电路图。 答案:根据逻辑电路图写出逻辑表达式:列出真值表:由真值表可见这是一个奇偶判别电路。即当输入A、B、C中有偶数个1时,输出Y等于1。而当输入A、B、C中有奇数个1或全为0时,输出Y等于0。若用非门和与非门构成电路,则逻辑表达式应变换成与非式。三、试分析图示(a)和(b)两电路是否具有相同的逻辑功能。如果相同,它们实现的是何逻辑功能。答案:根据逻辑电路图写出逻辑表达式:(a) (b) 可见,两电路具有相同的逻辑表达式,因此逻辑功能相同。电路实现的是异或逻辑功能。四、试分析图示电路的逻辑功能。答案:根据逻辑电路图写出逻辑表达式:列出真值表:由真值表可见这是一个同或门电路。即当输入A、B、C相同时,输出Y等于1。而当输入A、B、C不同时,输出Y等于0。五、用两片74HC148接成16线-4线优先编码器。六、用两片74HC138接成4线-16线译码器。七、分析图示电路的逻辑功能。各输出为1时,分别表示什么含义?答案:根据逻辑电路图写出逻辑表达式:此电路的逻辑功能为1位数值比较器。当Y1=1时,表示二进制数AB ;当Y2=1时,表示二进制数A=B ;当Y3=1时,表示二进制数A B 。八、用四选一数据选择器实现三变量函数。答案:若采用卡诺图法,令A1=B A0=C九、用3线-8线译码器74HC138和门电路产生如下函数。用8选1数据选择器74HC151实现函数Y2。答案:令A2=A A1=B A0=C 则 当用8选1数据选择器74HC151实现函数Y2时,令A2=A A1=B A0=C 则 D1=D3=D4=D7=1 D0=D2=D5=D6=0十、用4线-16线译码器74LS154和门电路产生如下函数。答案:令A3=A A2=B A1=C A0=D 则 十一、某工厂有三个车间和一个自备电站,站内有两台发电机X和Y。Y的发电能力是X的两倍。如果一个车间开工,只起动X即可;如果两个车间同时开工,只起动Y即可;如果三个车间同时开工,则X和Y都要起动。试设计一个控制发电机X、Y起动和停止的逻辑电路。(1)用全加器实现。(2)用译码器实现。(3)用门电路实现,门电路种类不限。答案:用E、F、G三个变量作为输入变量分别对应三个车间,并设车间开工为1,不开工为0; X、Y两个变量作为输出变量分别对应两台发电机,并设电机启动为1,停止为0。根据题意可列真值表:由真值表写出逻辑表达式:(1)用全加器实现 令CI=E A=F B=G 则S=X CO=Y(2)用译码器实现。令A2=E A1=F A0=G 则 (3)用门电路实现,门电路种类不限。 习题答案第五章 触发器1. 触发器是能够记忆一位二值信号的基本逻辑单元。2. 触发器有两个稳定的状态,可用来存储数码 0和 1 (只要电源不断电)。触发器按其逻辑功能可分为 RS 触发器、 D 触发器、 JK 触发器、 T 触发器等四种类型。按触发方式可以分为: 电平触发 、 脉冲触发 、 边沿触发 。3. 触发器有 两 个稳定状态,通常用 Q 端的输出状态来表示触发器的状态。4. 或非门构成的SR锁存器的输入为 S=1、R=0,当输入S变为0时,触发器的输出将会( C )。(A)置位 (B)复位 (C)不变5. 与非门构成的SR锁存器的输入为,当两输入的0状态同时消失时,触发器的输出状态为( D)(A) (B) (C) (D)状态不确定6. 触发器引入时钟脉冲的目的是(B )(A)改变输出状态(B)改变输出状态的时刻受时钟脉冲的控制(C)保持输出状态的稳定性7. 与非门构成的SR锁存器的约束条件是( B)(A) (B) (C) (D)8. “空翻”是指( A)(A)在时钟信号作用时,触发器的输出状态随输入信号的变化发生多次翻转(B)触发器的输出状态取决于输入信号(C)触发器的输出状态取决于时钟信号和输入信号(D)总是使输出改变状态9. JK触发器处于翻转时,输入信号的条件是( D)(A)J=0 , K=0 (B)J=0 , K=1 (C) J=1 , K=0 (D)J=1 , K=110. J=K=1时,JK触发器的时钟输入频率为120Hz,Q输出为(C )(A)保持为高电平 (B)保持为低电平(C)频率为60Hz的方波 (D)频率为240Hz的方波11. JK触发器在CP的作用下,要使,则输入信号为(A)(A)J=K=0 (B)J=1 , K=0 (C)J=K=Q (D)J=0 , K=112. 下列触发器中,没有约束条件的是( B)(A)SR锁存器 (B)主从JK触发器 (C)钟控RS触发器13. 某JK触发器工作时,输出状态始终保持为1,则可能的原因有(ACD )(A)无时钟脉冲输入 (B)J=K=1 (C)J=K=0 (D)J=1 , K=014. 归纳基本RS触发器、同步触发器、主从触发器和边沿触发器触发翻转的特点。答:(1)基本的RS触发器的动作特点是在输入信号S和R的全部作用时间内,都能直接改变输出端Q状态。(2)同步RS触发器的动作特点是在CP=1的全部时间内,S和R的变化都将引起触发器状态的相应改变。(3)主从触发器的动作特点是触发器的翻转分两步动作:第一步,在CP=1的期间主触发器接收输入端的信号被置成相应的状态,从触发器不动。第二步,在CP的下降沿到来时从触发器按照主触发器的状态翻转。因为主触发器本身是一个同步RS触发器,所以在CP=1的全部时间内输入信号都将对主触发器起控制作用。(4)边沿触发器翻转特点是触发器的状态仅取决于CP信号的上升沿或下降沿到达时输入端的逻辑状态,而在这之前或以后,输入信号的变化对触发器的状态没有影响。15. 画出由与非门组成的SR锁存器输出端的电压波形,输入端的电压波形如图中所示。答案:3. 画出图中由或非门组成的SR锁存器输出端的电压波形,其中输出入端S,R的电压波形如图中所示。答案:4. 由或非门组成的触发器和输入端信号如图所示,设触发器的初始状态为1,画出输出端Q的波形。答案:5. 在下图电路中,若CP、S、R的电压波形如图中所示,试画出Q的波形,假定触发器的初始状态为Q=0。答案:6. 若主从结构RS触发器各输入端的电压波形如图中所示,试画出端对应的电压波形。设触发器的初始状态为Q=0。答案:7. 已知主从结构JK触发器输入端J、K和CP的电压波形如图所示,试画出端对应的波形。设触发器的初始状态为Q = 0。答案: 8. 图示电路中,已知CP和输入信号T的电压波形,试画出触发器输出端的电压波形,设触发器的起始状态为Q=0。答案: 9. 已知上升沿触发的D触发器输入端的波形如图所示,画出输出端Q的波形。若为下降沿触发,画出输出端Q的波形。设初始状态为Q=0。答案: 10. 已知D触发器各输入端的波形如图所示,试画出端的波形。答案:11. 如图所示为边沿D触发器构成的电路图,设触发器的初始状态Q1Q0=00,确定Q0 及Q1在时钟脉冲作用下的波形。答案:因为 所以 即两个D触发器的输入信号分别为另一个D触发器的输出信号,故在确定它们输出端波形时,应该分段交替画出其波形。习题答案第六章 时序逻辑电路1. 时序逻辑电路是指 任一时刻得输出信号不仅取决于当时得输入信号,而且还取决于电路原来得状态 。时序逻辑电路具有 存储和记忆 功能,而组合逻辑电路没有这种功能。2. 按照存储电路中触发器动作特点的不同,时序逻辑电路可分为 同步 时序逻辑电路和 异步 时序逻辑电路,而按照输出信号特点的不同,又可将时序逻辑电路划分为 米利 型 和 穆尔 型两种 。3. 用4级触发器组成十进制计数器,其无效状态个数为( D )。A. 不能确定 B. 10个 C. 8个 D. 6个4. 某时序逻辑电路的波形如图所示,由此判定该电路是( B )。A. 二进制计数器 B. 十进制计数器 C. 移位寄存器5. 寄存器是用于 寄存一组二值代码的 ,移位寄存器除了具有寄存器的功能以外,还具有移位功能,移位功能是指 在移位脉冲的作用下依次左移或右移 。6. 由D触发器组成的四位数码寄存器,清零后,输出端Q3Q2Q1Q0=_0000_ ,若输入端D3D2D1D0=1001,当CP有效沿出现时,输出端Q3Q2Q1Q0=_1001_ 。7. 试分析下图所示时序逻辑电路的逻辑功能。解:属同步时序电路,时钟方程省去。输出方程: 驱动方程:T触发器的特性方程:将各触发器的驱动方程代入特性方程,即得电路的状态方程:列状态转换表 画状态转换图和时序波形图由状态图可以看出,当输入X 0时,在时钟脉冲CP的作用下,电路的4个状态按递增规律循环变化,即:0001101100当X1时,在时钟脉冲CP的作用下,电路的4个状态按递减规律循环变化,即:0011100100可见,该电路既具有递增计数功能,又具有递减计数功能,是一个2位二进制同步可逆计数器。8. 写出下图电路的驱动方程、特性方程和输出方程。解:驱动方程状态方程输出方程9. 试分析下图所示时序逻辑电路的逻辑功能。解:该电路为异步时序逻辑电路。具体分析如下:(1)写出各逻辑方程式时钟方程:CP0=CP (时钟脉冲源的上升沿触发)CP1=Q0 (当FF0的Q0由01时,Q1才可能改变状态)输出方程:各触发器的驱动方程:(2)将各触发器的驱动方程代入D触发器的特性方程,得各触发器的状态方程: (CP由01时此式有效)(Q0由01时此式有效)(3)列状态转换表(4)画状态转换图和时序波形图 (5)逻辑功能分析 由状态转换图可知:该电路一共有4个状态00、01、10、11,在时钟脉冲作用下,按照减1规律循环变化,所以是一个异步4进制减法计数器,Z是借位信号。10. 输入信号波形如图所示, 试画出电路对应的输出Q2、Q1的波形图。 11. 试分析下图所示时序逻辑电路的逻辑功能。解:属同步时序电路,时钟方程省去。驱动方程:将各触发器的驱动方程代入特性方程,即得电路的状态方程:列状态转换表画状态转换图由状态转换图可知:该电路属同步五进制计数器,不具备自启动功能。12.已知计数器的输出端Q2、Q1、Q 0的输出波形如图所示,试画出对应的状态图,并分析该计数器为几进制计数器。由状态转换图可知:该电路属七进制计数器。13. 分析图示时序电路的逻辑功能,假设电路初态为000,如果在CP的前六个脉冲内,D端依次输入数据为1,0, 1,0,0, 1,则电路输出在此六个脉冲内是如何变化的?解:属同步时序电路,时钟方程省去。驱动方程:将各触发器的驱动方程代入特性方程,即得电路的状态方程:列状态转换表该电路属三位右移移位寄存器。14. 分析图示计数器电路,说明这是多少进制的计数器,并画出对应的状态转换图。十进制计数器74160的功能表与表6.3.4(教材P282)相同。这是一个七进制进制的计数器。15.下图所示计数器电路为几进制计数器?图示计数器电路分别为八进制计数器和七进制进制的计数器。16. 试用两片4位二进制加法计数器74161采用并行进位方式构成8位二进制同步加法计数器。模为1616=256。17. 试用74161构成九进制计数器。(可采用异步清零法或同步预置数法)异步清零法同步预置数法18. 试用集成计数器74160和与非门组成五进制计数器,要求直接利用芯片的进位输出端作为该计数器的进位输出。 19. 试用集成计数器74191和与非门组成余3码十进制计数器。20. 试用集成计数器74160和与非门组成48进制计数器。解:因为N48,而74160为模10计数器,所以要用两片74160构成此计数器。先将两芯片采用并行进位方式连接成100进制计数器,然后再用异步清零法组成了48进制计数器。21. 某石英晶体振荡器输出脉冲信号的频率为32768Hz,用74161组成分频器,将其分频为频率为1Hz的脉冲信号。 解:因为32768=215,经15级二分频,就可获得频率为1Hz的脉冲信号。因此将四片74161级联,从高位片(4)的Q2输出即可。22. 试用计数器74161和数据选择器74151设计一个01100011的序列信号发生器。解:由于序列长度P=8,故将74161构成模8计数器,并选用数据选择器74151产生所需序列,从而得电路如图所示。23. 试用JK触发器设计一个同步五进制加法计数器,要求电路能够自启动。解:本题是同步计数器的设计,分析步骤如下:(1) 根据设计要求,设定状态,画出状态转换图。该状态图不须化简。(2) 状态分配,列状态转换编码表。由题意要求M=5,故应取触发器位数n=3,因为 22523(1) 画出电路的次态卡诺图,经化简得到电路的状态方程。(4) 选择触发器 用JK触发器,则可列出有关JK触发器驱动方程和进位输出方程。(5)画逻辑电路图(6)检查能否自启动可见,如果电路进入无效状态101、110、111时,都可在CP脉冲作用下,分别进入有效状态010、011、100。所以电路能够自启动。习题答案第七章 半导体存储器1. 包含(存储矩阵)、(地址译码器)和(输出缓冲器)三个组成部分。2. 19位。3. ROM和RAM的主要区别为(ROM只读存储器;RAM可随机读写)。4. RAM分为( 静态随机存储器SRAM)和(动态随机存储器DRAM)。5. A6. 不对,应该是ROM的每个与项实现了地址输入变量的逻辑与。7. 不能8. 1024个。9. 4个10.16片11. 解:(1)ROM真值表如下(2)逻辑表达式如下 , , , 12. 存储器容量的位扩展方式是:每一片存储器的字数够用而每个字的位数不够用时采用的扩展方式,将N片存储器的所有地址线、控制线等并联即可。存储器容量的字扩展方式是:数据位数够用而字数不够用时采用的扩展方式。通过增添译码器(即增加地址代码的位数),由译码器的输入作为地址代码的高位,而译码器的输出分别控制各存储器的片选端,其它控制线并联即可。13. 参见教材P375图7.4.114. 参见教材P376图7.4.215.16. 略17. 略 习题答案第十章 脉冲波形的产生和整形1. 能否用施密特触发器存储1位二值代码?为什么? 答:可以用施密特触发器存储1位二值代码,因为它同样具有两个稳定状态。但这两个稳定状态要依靠输入信号来维持,两状态的转换也要靠输入信号的变化来实现。2. 在教材P458图10.2.1所示的施密特触发器电路中,为什么要求R1R2 ,会使VT+ 2VTH= VDD ,VT- 0 ,而vI的变化范围在0 VDD之间,即达不到阈值而使电路自锁,不能进行状态翻转。3. 反相输出的施密特触发器的电压传输特性和普通反相器的电压传输特性有什么不同? 答:反相输出的施密特触发器的电压传输特性和普通反相器的电压传输特性的不同点在于输入信号在上升和下降过程中,电路状态转换时对应的输入电平不同。电路状态转换时有正反馈过程,使输出波形边沿变得很陡。4. 若反相输出的施密特触发器输入信号波形如图P10-4所示,试画出输出信号的波形。施密特触发器的转换电平VT+、VT-已在输入波形图上标出。图P10-4 答:图A10-45. 在教材P458图10.2.1给出的由CMOS反相器组成的施密特触发器电路中,若R1=50k ,R2=100k ,VDD=5V ,VTH=VDD/2 ,试求电路的输入转换电平VT+、VT-以及回差电压VT 。解:6. 在图P10-6(a)所示的施密特触发器电路中,已知R1=10k , R2=30k 。G1和G2为CMOS反相器,VDD=15V 。(1) 试计算电路的正向阈值电压VT+、负向阈值电压VT-和回差电压VT 。(2) 若将图P10-6(b)给出的电压信号加到图P10-6(a)电路的输入端,试画出输出电压的波形。图P10-6解:(1) (2)图A10-67. 单稳态触发器输出脉冲的宽度(即暂稳态持续时间)由哪些因素决定?与触发脉冲的宽度和幅度有无关系?答:单稳态触发器输出脉冲的宽度(即暂稳态持续时间)的长短取决于电路内部的时间常数,与触发脉冲的宽度和幅度没有关系。8. 比较图10.3.1 (教材P467)的微分型单稳态触发器和图10.3.5( 教材P469)的积分型单稳态触发器,它们各有何优点、缺点?答:(1)积分型比微分型单稳抗干扰能力强。 (2)积分型单稳输出波形的边沿比较差(因为电路状态转换中无正反馈作用)。 (3)积分型单稳必须在触发脉冲宽度大于输出脉冲宽度时方能正常工作;而微分型单稳可用窄脉冲触发也可在vd的脉宽大于输出脉宽时电路仍工作,但输出脉冲下降沿较差(vO在返回低电平过程中电路内部不能形成正反馈)9. 在教材P467图10.3.1给出的微分型单稳态触发器电路中,已知R=51k ,C=0.01F,电源电压VDD=10V ,试求在触发信号作用下输出脉冲的宽度和幅度。 解: tW=RCln2=511030.0110-60.69=0.35ms Vm=VOH-VOL10V10. 在教材P467图10.3.1给出的微分型单稳态触发器电路中,为加大输出脉冲宽度所采取的下列措施哪些是对的,哪些是错的。如果是对的,在( )内打;如果是错的,在( )内打:(1) 加大Rd(); (2)减小R(); (3)加大C(); (4)提高VDD();(5) 增加输入触发脉冲的宽度()。11. 在教材P469图10.3.5给出的积分型单稳态触发器电路中,若G1和G2为74LS系列门电路,它们的VOH=3.4V ,VOL0V, VTH=1.1V ,R=1k ,C=0.01F,试求在触发信号作用下输出负脉冲的宽度。设触发脉冲的宽度大于输出脉冲的宽度。 解:12. 图P10-12是用两个集成单稳态触发器74121所组成的脉冲变换电路,外接电阻和电容参数如图中所示。试计算在输入触发信号vI作用下vO1、vO2输出脉冲的宽度,并画出与vI波形相对应的vO1、vO2的电压波形。vI的波形如图中所示。图P10-12 解:图A10-12 tW1=RCln2=221030.1310-60.692ms tW2=RCln2=111030.1310-60.691ms13. 在什么条件下电路中的正反馈会使电路产生振荡?在什么条件下电路中的负反馈会使电路产生振荡? 答:电路中的正反馈会使电路产生振荡的条件:利用闭合回路中的正反馈可以产生振荡, 但构成振荡器中的反相器必须工作在电压传输特性的转折区。电路中的负反馈会使电路产生振荡的条件:利用门电路的传输延迟时间将奇数个反相器首尾相接。14. 在教材P477图10.4.1给出的对称式多谐振荡器电路中,若RF1= RF2=1k ,C1=C2=0.1F ,G1和G2为74LS04(六反相器)中的两个反相器,G1和G2的VOH=3.4V , VTH=1.1V ,VIK=-1.5V ,R1=20k ,求电路的振荡频率。 解: 其中 故得到振荡频率为 15. 在教材P477图10.4.1给出的对称式多谐振荡器电路中,试判断为提高振荡频率所采取的下列措施哪些是对的,哪些是错的。如果是对的,在( )内打;如果是错的,在( )内打:(1)加大电容C(C1=C2=C)的电容量( ); (2)减小电阻R(RF1=RF2=R)的阻值( ); (3)提高电源电压( )。16. 图P10-16是由五个同样的与非门接成的环形振荡器。今测得输出信号的重复频率为10MHz,试求每个门的平均传输延迟时间。假定所有与非门的传输延迟时间相同,而且tPHL=tPLH=tpd。 解: 17在教材P487图10.4.17给出的脉冲占空比可调的多谐振荡器电路中,已知CMOS集成施密特触发器的电源电压VDD15V,VT+=9V,VT-4V,试问: (1) 为了得到占空比为q50的输出脉冲,R1与R2 的比值应取多少?(2) 若给定R1=3k , R2=8.2k ,C=0.05F ,电路的振荡频率为多少?输出脉冲的占空比又是多少?解:(1) (2) 18为什么石英晶体能稳定振荡器的振荡频率?答:当在多谐振荡器电路中接入石英晶体时,振荡器的振荡频率将取决于石英晶体的固有谐振频率f0,而与外接电阻、电容无关。固有谐振频率由石英晶体的结晶方向和外形尺寸决定。所以,频率稳定度极高,石英晶体振荡器的频率稳定度能达到10-1010-11。19在555定时器电路中,改变控制电压输入端VCO的电压,可以改变。 阈值端TH、触发端TR的电平; 555定时器电路输出的高、低电平; 放电三极管TD的导通与截止电平; 置零输入端RD的置零电平。答:在555定时器电路中,改变控制电压输入端VCO的电压,可以改变。20施密特触发器具有。 一个稳定状态; 两个稳定状态; 多个稳定状态; 没有稳定状态答:施密特触发器具有。21欲将输入的不规则波形转换为脉冲宽度和幅度都相等的矩形波可选用。 施密特触发器; 多谐振荡器; 单稳态触发器; 数据选择器答:欲将输入的不规则波形转换为脉冲宽度和幅度都相等的矩形波可选用。22可以用做延时的电路是。 译码器; 多谐振荡器; 施密特触发器; 单稳态触发器答:可以用做延时的电路是。23555定时器接成单稳态触发器,为改变输出脉冲宽度,可以改变。 电阻R ; 电容C ; 电源电压VDD ; 控制电压输入端的接法 ; 输入信号的脉冲宽度。答:555定时器接成单稳态触发器,为改变输出脉冲宽度,可以改变。24555定时器接成的多谐振荡器时,为使输出波形的周期T增加,可以。 增大R1 ; 增大R2 ; 增大C ; 增加电源电压 ; 增加控制电压输入端的电压。答:555定时器接成的多谐振荡器时,为使输出波形的周期T增加,可以。实际是改变了比较器的基准电压,即改变了电容器的充放电起始值和转换值。25在图10.5.2(教材P492)用555定时器接成的施密特触发器电路中,用什么方法能调节回差电压的大小? 答:电源电压或外接控制电压改变时,可以改变回差电压的大小。26在图10.5.4(教材P493)用555定时器接成的单稳态触发器电路中,若触发脉冲宽度大于单稳态持续时间,电路能否正常工作?如果不能,则电路应做何修改? 答:若触发脉冲宽度大于单稳态持续时间后,输出脉冲宽度将等于触发脉冲的低电平持续时间,而不再取决于电路本身的参数,电路不能正常工作。因此,出现这种情况时,输入端一定要加微分电路。27在图10.5.4(教材P493)用555定时器接成的单稳态触发器电路中,对触发脉冲的幅度有什么要求?答:当输入信号vI发生负跳变时,负脉冲电压应低于555定时器内比较器C2的基准电压VR2 ,才能使定时器的输出vO变成高电平,电路进入暂稳态。28 在图10.5.6(教材P495)用555定时器接成的多谐振荡器电路中,如果用vO端代替vO端接到R2C电路输入端,去掉R1,电路能否正常工作?答:电路不能正常工作。因为去掉R1,就断开了电源经R1对电容C的充电通路,振荡器无法形成振荡。若R1存在,电路可以振荡,但会使vO端的负载加重,vO波形变差。29. 图P10-29是由555定时器构成的施密特触发器电路。(1) 在图P10-29(a)中,当VDD15V时,没有外接控制电压,求VT+、VT- 及VT各为多少?(2) 在图P10-29(b)中,当VDD9V时,外接控制电压VCO5V,求VT+、VT-及VT各为多 少? 图P10-29 解:(1)当VDD15V时,(2)当VCO5V时, 30. 图P10-30是用555定时器组成的开机延时电路。若给定C=25F,R=91k,VCC12V,试计算常闭开关S断开以后经过多长的延迟时间vO才跳变为高电平。图P10-30 解:31. 在图P10-31所示由555定时器构成的多谐振荡器中,若R1=R2=5.1 k ,C0.01F, VCC12V。试求脉冲宽度tW、振荡周期T、振荡频率f 、占空比q 。图P10-31解:习题答案第十一章 数模和模数转换1. 在教材P508图11.2.1所示的权电阻网络D/A转换器中,若取VREF=5V,试求当输入数字量为d3d2d1d0=0101时输出电压的大小。解:2. 在权电阻D/A转换器中,若n6,并选MSB权电阻R5=10 k,试选取其它各位权电阻。 解:根据已知条件可得出 3. n位权电阻D/A转换器如图P11-3所示。(1)试推导输出电压vO与输入数字量之间的关系式;(2)如n8,VREF=10V,当时,如输入数字量为(20)H,试求输出电压值。图P11-3 解:(1) (2)十六进制数(20)H=(0010 0000)2 4. 在教材P510图11.2.3所示的倒T形电阻网络D/A转换器中,已知VREF=-8V,试计算当d3、d2、d1、d0每一位输入代码分别为1时在输出端所产生的模拟电压值。 解: 5. 在教材P511图11.2.5所示的倒T形电阻网络D/A转换器中,给定VREF=5V,试计算(1)输入数字量的d9d0每一位为1时在输出端所产生的模拟电压值。(2)输入为全1、全0和1000000000时对应的输出电压值。 解:(1)根据 可求得d9d0每一位为1时在输出端所产生的模拟电压值分别为:-2.5V,-1.25V,-0.625V,-0.313V,-0.156V,-78.13mV,-39.06mV,-19.53mV,-9.77mV,-4.88mV。(2)输入为全1、全0和1000000000时对应的输出电压值分别为:-4.995V,0V,-2.5V。6. 在教材P511图11.2.5由CB7520所组成的D/A转换器中,已知VREF=-10V,试计算当输入数字量从全0变到全1时输出电压的变化范围。如果想把输出电压的变化范围缩小一半,可以采取哪些方法? 解:由 当D=0时,vO=0V;当(全1)时,vO=9.99V。 如果想把输出电压的变化范围缩小一半,可以将VREF的绝对值减小一半。也可以将求和放大器的放大倍数减小一半。此时,不能再用芯片内置反馈电阻R,而应外接一个大小等于R/2的反馈电阻。7. 某10位倒T型电阻网络D/A转换器如图P11-7所示,当R=Rf时:(1)试求输出电压的取值范围。(2)若要求电路输入数字量为(200)H时输出电压vO=5V,试问VREF应取何值?图P11-7 解:(1) (2)十六进制数(200)H=(10 0000 0000)2 由所以VREF= -10V8. 某一倒T型电阻网络D/A转换器,它的n10,VREF= -5V,要求输出电压vO=4V,试问输入二进制数应为多少?为获得20V的输出电压,有人说,在其它条件不变的情况下,增加D/A转换器的位数即可,你认为正确吗? 解:(二进制数) 对应的十进制数 将819转换为二进制数819=(1100110011)2 要获得20V的输出电压,只能提高基准电压,增加转换器位数只能提高精度和分辨率,且vO最大只能接近VREF值,不可能超过VREF值。9. 某一倒T型电阻网络D/A转换器中,若n10,d9=d7=1,其余位为0,在输出端测得电压vO=3.125V,问该D/A转换器的基准电压VREF=? 解:d9=d7=1,其余位为0所对应的数为10 1000 0000=512+128=640 所以10D/A转换器,其最小分辨电压VLSB5mV,最大满刻度输出模拟电压VFSRl0V,求该转换器输入二进制数字量的位数。 解:由分辨率公式得出11. 在10位二进制数D/A转换器中,已知其最大满刻度输出模拟电压VFSR5V,求最小分辨电压VLSB和分辨率。 解:由得出 分辨率为12在要求A/D转换器的转换时间小于1s 、小于100s和小于0.1s三种情况,应各选择哪种类型的A/D转换器? 答:1s并联比较型A/D转换器;100s逐次渐进型A/D转换器;0.1s间接A/D转换器(如双积分型)。13如果要将一个最大幅值为5.1V的模拟信号转换为数字信号,要求能分辨出5mV的输入信号的变化,试问应选用几位的A/D转换器。 解:位14如果输入电压的最高次谐波频率fi(max)=100kHz,请选择取样周期Ts,并计算最小取样频率fs。应该选择哪种类型的A/D转换器?解:根据取样定理可得取样周期 故可以选择逐次渐进型A/D转换器最小取样频率为 15如果将图11.3.7(教材P530)所示并联比较型A/D转换器输出的数字量增加至8位,并采用图11.3.3(b)(教材P526)所示的量化电平划分方法即:四舍五入法,试问最大的量化误差是多少?在保证VREF变化时引起的误差的条件下,VREF的相对稳定度(VREF/VREF)应为多少?解:量化单位为最大量化误差 因为VREF在最高位比较器的基准电压上引起的误差最大,所以应保证这个误差小于 ,故得到 16在图11.3.8(教材P532)给出的计数式A/D转换器中,若输出的数字量为10位二进制数,时钟信号频率为1MHz,则完成一次转换的最长时间是多少?如果要求转换时间不得大于100s,那么时钟信号频率应选多少? 解:完成一次转换的最长时间约为210-1个CLK周期,即(210-1)10-6s=1.023ms 。要求转换时间小于100s,则时钟信号周期Tc应满足(210-1)Tc10010-6时钟信号频率应满足17如果将图11.3.10(教材P534)所示逐次渐近型A/D转换器的输出扩展到10位,取时钟信号频率为1MHz,试计算完成一次转换操作所需要的时间。如果要求转换时间不大于10s,那么时钟信号频率应选多少?解:完成一次转换所需要的时间为(n+2)Tc=1210-6s=12s如果要求转换时间不大于10s,则,所以要求时钟频率大于1.21MHz。18在图11.3.13(教材P538)所示的双积分型A/D转换器中,若计数器为10位二进制,时钟信号频率为1MHz,试计算转换器的最大转换时间是多少?解:最大转换时间为2n+1Tc=21110-6s=2.048ms19双积分型A/D转换器的电压波形如图11.3.12(教材P537)所示(1)分别求出两次积分完毕时,积分器的输出电压。(2)设第一次积分时间为T1,第二次积分时间为T2,问输出数字量与哪个时间成正比?解:(1)第一次积分完毕时,积分器的输出电压为 第二次积分完毕时,积分器的输出电压为 (2)第二次积分完毕时,计数器的数值为即:输出数字量与时间T1成正比。20双积分型A/D转换器如图11.3.13(教材P538)所示。(1)若输入电压VImax=1V,要求分辨率0.1mV,则二进制计数器总容量N应大于多少?(2)二进制计数器需要多少位?(3)若时钟脉冲频率fc=100KHz ,vIVREF,则转换过程会产生什么现象?解:(1)二进制计数器总容量为(2)由于,所以n=14,考虑附加计数器,则需15位二进制计数器。(3)由于而 所以(4)由双积分型A/D转换器的工作原理知,vIVREF时,会使计数器在二次计数时复位溢出后重新计数,而使转换出错。21某双积分型A/D转换器电路中的计数器由四片十进制计数器组成,它的最大计数容量N1=(5000)10 。计数脉冲的频率fc=25KHz ,积分器的R=100 k,C1F,输入电压vI =05V。 试求:(1) 第一次积分的时间T1。(2) 积分器的最大输出电压VOmax。(3) 当VREF=10V,若计数器的计数值N2=(1740)10时,表示输入电压vI为多大?解:(1)第一次积分的时间T1=2nTc=2n/fc=N1/ fc =50004010-6=0.2s(2)积分器的最大输出电压(3)由于所以22逐次渐近型8位A/D转换器电路中,若基准电压VREF=5V,输入电压vI =4.22V,试问其输出数字量d7d0=?如果其它条件不变,仅改变10位D/A转换器,那么输出数字量又会是多少?请写出两种情况的量化误差。 解:由逐次渐近型A/D转换器的工作过程知,vI与8位D/A转换器输出比较。而D/A转换器决定了输出的数字量,所以,实质是通过对D/A转换器的计算确定输出数字量的。由D/A转换器知当D/A转换器的vO=vI时所对应的数字量通过十进制转换成二进制得d7d6d5d4d3d2d1d0=1101 1000如果换为10位D/A转换器,则通过十进制转换成二进制得d9d8d7d6d5d4d3d2d1d0=11 0110 0000只舍不入时八位情况十位情况四舍五入时八位情况十位情况47
展开阅读全文
相关资源
相关搜索

当前位置:首页 > 图纸专区 > 考试试卷


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!