EDA技术与VHDL复习练习题.doc

上传人:s****u 文档编号:12766693 上传时间:2020-05-23 格式:DOC 页数:14 大小:98.50KB
返回 下载 相关 举报
EDA技术与VHDL复习练习题.doc_第1页
第1页 / 共14页
EDA技术与VHDL复习练习题.doc_第2页
第2页 / 共14页
EDA技术与VHDL复习练习题.doc_第3页
第3页 / 共14页
点击查看更多>>
资源描述
EDA技术与VHDL复习练习题一、填空题1、PLD的中文含义是:_。2、ASIC的中文含义是:_。3、“与或”结构的可编程逻辑器件主要由四部分构成:_、_、_和_。4、可编程逻辑器件结构图中一般用“x”表示此编程单元为_。5、可编程逻辑器件结构图中一般用“”表示此编程单元为_。6、可编程逻辑器件结构图中无任何标记表示此编程单元为_。7、可编程逻辑器件按规模的大小一般分为_和_。8、低密度可编程逻辑器件的主要有_和_。9、GAL器件_取代全部PAL器件。10、PAL器件只能_次编程。11、GAL器件能_次编程。12、GAL器件_取代TTL器件。13、GAL器件采用_擦除。14、PAL和GAL器件_在系统编程。15、PAL和GAL器件需要使用_编程。二、选择题1、可编程逻辑器件PLD的基本结构形式是_: A:与与 B:与或 C:或与 D:或或2、可以多次编程的器件是_: A:PROM B:PLA C:PAL D:GAL3、PLD器件未编程时_: A:有逻辑功能 B:没有逻辑功能 C:PAL器件有逻辑功能 D:GAL器件有逻辑功能4、GAL器件可以用 擦除: A:普通光 B:紫外线 C:红外线 D:电5、GAL16V8器件的输出引脚最多有_: A:16 B:4 C:8 D:206、PAL16V8器件的输入引脚最多有_: A:16 B:4 C:8 D:207、GAL16V8不能取代_: A:PAL16V8 B:74LS138 C:74LS373 D:ispLSI1032E-70PLCC848、GAL16V8的_不可编程: A:与阵列 B:或阵列 C:输出逻辑宏单元OLMC D:A、B都三、判断题1、GAL器件的输出逻辑宏单元OLMC不能实现PAL器件的所有输出形式。( )2、PAL器件只能一次编程。( )3、GAL器件只能一次编程。( )4、PAL和GAL器件需要使用专门的编程器编程。( )5、PAL器件可以在系统编程。( )6、GAL器件可以在系统编程。( )7、PAL器件可以取代GAL器件。( )8、GAL器件可以取代PAL器件。( )9、GAL器件可以使用紫外线擦除。( )10、GAL器件OLMC不可编程。( )11、GAL器件不能加密。( )答案:一、填空题1、可编程逻辑器件2、专用集成电路3、输入电路、可编程“与”阵列、可编程或阵列、输出电路4、编程连接5、固定连接6、不连接7、低密度可编程逻辑器件、髙密度可编程逻辑器件8、PAL、GAL9、可以10、一11、多12、可以13、电14、不能15、编程器二、选择题1、B2、D3、B4、D5、C6、A7、D8、B三、判断题1、X2、3、X4、5、X6、X7、X8、9、X10、X11、X一、填空题1、髙密度可编程逻辑器件的主要有_和_。2、CPLD的中文含义是_。3、FPGA的中文含义是_。4、FPGA的_加密。5、CPLD的_加密。6、CPLD的集成度_于PAL和GAL。7、CPLD的内部延时_。8、FPGA的内部延时_。9、CPLD的一般采用“_”结构。10、FPGA的一般采用“_”结构。11、FPGA的一般采用_工艺。12、断电后,FPGA器件中的配置数据会自动_。13、断电后,CPLD中的数据不会_。14、在系统可编程CPLD和FPGA_编程器编程。15、CPLD和FPGA的I/O端数和触发器比PAL和GAL_。二、选择题1、CPLD内部含有多个逻辑单元块,每个逻辑单元块相当于一个( )器件: A:PAL B:GAL C:FPGA D:EPROM2、高密度ispLSI1000系列器件的基本逻辑单元是: A:全局布线区GRP B:通用逻辑块GLB C:输入输出单元IOC D:输出布线区CDN3、对CPLD器件特点描述正确的是: A:不能多次编程 B:可以多次编程 C:使用紫外线擦除 D:使用红外线擦除4、对CPLD器件特点描述正确的是: A:不能多次编程 B:集成度低于PAL和GAL C:内部触发器少 D:可以加密5、对FPGA器件特点描述正确的是: A:采用EEPROM工艺 B:采用SRAM工艺 C:集成度比PAL和GAL低 D:断电后配置数据不丢失6、只能一次编程的器件是: A:PAL B:GAL C:CPLD D:FPGA7、可以进行在系统编程的器件是: A:EPROM B:PAL C:GAL D:CPLD8、CPLD和FPGA的不同特性: A:高密度 B:髙速度 C:在系统编程 D:加密9、可以进行在系统编程的器件是: A:EPROM B:PAL C:GAL D:FPGA10、在系统可编程器件一般使用计算机的( )编程: A:串口 B:并口 C:USB口 D:VGA口三、判断题1、在系统可编程器件需使用编程器编程。( )2、在系统可编程器件不能先焊接后编程。( )3、使用在系统可编程器件设计的电子产品不能升级。( )4、CPLD不能加密。( )5、断电后CPLD中的数据会丢失。( )6、断电后FPGA中的数据会丢失。( )7、FPGA能加密。( )8、CPLD的内部延时确定。( )9、FPGA的内部延时确定。( )答案:一、填空题1、CPLD、FPGA2、复杂可编程逻辑器件3、现场可编程门阵列4、不能5、能6、髙7、确定8、不确定9、与或阵列10、查找表11、SRAM12、丢失13、丢失14、不需15、多二、选择题1、B2、B3、B4、D5、B6、A7、D8、D9、D10、B三、判断题1、X2、X3、X4、X5、X6、7、X8、9、X一、填空题1、VHDL语言是_标准化语言。2、一个完整的VHDL程序包含:_、_、_、 _、_五个部分。3、_部份说明了设计模块的输入/输出接口信号或引脚。4、_部份描述了设计模块的具体逻辑功能。5、VHDL提供了四种端口模式:_、_、_、 _。6、关键字实体的英文是:_。7、关键字结构体的英文是:_。8、VHDL语言常用的库有:_、_、 _。9、结构体的描述方式主要有:_和_。10、IEEE库常用的程序包有:_、_、 _。11、程序包由:_和_构成二、选择题1、语言程序结构中必不可少的部分是:( )(A)库(B)程序包(C)配置(D)实体和结构体2、语言端口模式中不允许内部引用该端口信号的是( ):(A)(B)(C)(D)3、下面哪种VHDL库使用时不需声明( ):(A)IEEE库(B)ASIC库(C)WORK库(D)ALTERA库4、下面哪种VHDL库使用时不需声明( ):(A)IEEE库(B)ASIC库(C)STD库(D)ALTERA库5、能反馈输出信号至内部的端口模式是( ):(A)(B)(C)(D)6、CLK为输入信号,其正确的端口说明是:( )(A)CLK:IN BIT(B)CLK:OUT BIT(C)CLK:INOUT BIT(D)CLK:BUFFER BIT7、Q0为输出信号,但内部设计会用到其反馈信号,其正确的端口说明是:( )(A)CLK:IN BIT(B)CLK:OUT BIT(C)CLK:INOUT BIT(D)CLK:BUFFER BIT8、STD_LOGIC_1164程序包的正确声明方法是:( )(A)USE STD_LOGIC_1164(B)USE IEEE.STD_LOGIC_1164(C)USE IEEE.STD_LOGIC_1164.ALL(D)USE WORK.STD_LOGIC_1164.ALL9、类属说明的正确格式是:( )(A)GENERIC(delay:TIME=20us);(B)GENERIC(delay:TIME:=20us);(C)GENERIC(delay TIME=20us);(D)GENERIC(delay=TIME:=20us);10、使用STD_LOGIC数据类型,必须声明库( )(A)ALTERA(B)STD(C)IEEE(D)WORK三、判断题1、IEEE库使用时必须声明。( )2、实体(ENTITY)不是VHDL程序所必须的。( )3、一个实体只能有一个结构体。( )4、OUT模式的信号也可在表达式的右边使用。( )5、INOUT是双向信号,在表达式的右边使用时信号来自外部。( )6、BUFFER也可在表达式的右边使用,但其含义是指内部反馈信号。( )7、结构体内部定义的数据类型、常数、函数、过程只能用于该结构体。( )8、STD库使用时也必须声明。( )9、库的好处是可使设计者共享设计成果。( )10、库的说明语句必须放在实体前面。( )11、配置用于描述层与层之间的连接关系和实体与结构体之间的关系。( )12、类属参量为实体和外部环境通信提供一种静态信息通道,类属的值可以由设计实体外部提供。 ( )答案:一、填空题1、IEEE2、实体、结构体、库、程序包、配置3、实体4、结构体5、IN、OUT、INOUT、BUFFER6、ENTITY7、ARCHITECTURE8、IEEE库、STD库、WORK库9、行为描述、数据流描述10、STD_LOGIC_1164、STD_LOGIC_UNSIGNED、STD_LOGIC_ARITH 11、程序包首、程序包体二、选择题1、D2、B3、C4、C5、C6、A7、D8、C9、B10、C三、判断题1、2、X3、X4、X5、6、7、8、X9、10、11、12、一、填空题1、布尔类型(BOOLEAN)的取值只有_和_。2、位类型(BIT)的取值只有_和_。3、SIGNAL b:BIT_VECTOR(6 TO 0),信号b被定义为_位位宽。4、仅能用于仿真的数据类型有_、_。5、A,a是不同的_。6、字符串是用_括起来的一个字符序列。7、错误等级类型用来表示系统的状态,共有四种错误等级:_、_、 _、_。8、VHDL语言有4类操作符:_、_、_、 _。9、算术运算符“/”、“MOD”、“REM”可综合的分母/底必须是_的乘方。10、VHDL的数据对象有:_、_、 _和 。二、选择题1、TYPE week IS(sun,mon,tue,wed,thr,fri,sat); week的数据类型是( )(A)字符(B)BIT(C)STD_LOGIC(D)枚举2、语言优先级最高的运算符是( ):(A)AND(B)OR(C)NOT(D)XOR3、变量不能使用的程序结构部分是( ):(A)结构体(B)进程(C)函数(D)过程4、变量不能使用的程序结构部分是( ):(A)实体(B)进程(C)函数(D)过程5、能在进程之间传递信息的数据对象是( ):(A)常量(B)变量(C)信号(D)文件6、a已定义为信号,b已定义为变量,下面正确的表达是:( )(A)a:=b(B)a=b(C)b:=a(D)b=a7、signal a:bit; signal b:bit_vector(1 downto 0);下面正确的表达式是:( )(A)b=a(B)a=b(C)a=b(0)(D)a:=b(0)8、signal a,b:bit; signal y:bit_vector(1 downto 0);下面正确的表达式是:( )(A)y=a(B)y=b(C)y=b and a(D)y=b&a9、常量的正确格式是:( )(A)CONSTANT Vcc:REAL=5.0 ;(B)CONSTANT Vcc:REAL:=5.0 ;(C)CONSTANT Vcc REAL=5.0 ;(D)CONSTANT Vcc:=5.0 ;10、a的初值为0;执行语句a=a+1;a=a+1; a=a+1;后,a的值为( )(A)0(B)1(C)2(D)311、a的初值为0;执行语句a:=a+1;a:=a+1; a:=a+1;后,a的值为( )(A)0(B)1(C)2(D)3三、判断题1、布尔类型只能进行关系运算,不能进行算术运算。( )2、整数类型使用时必须限定其范围。( )3、实数类型不能用于逻辑综合。( )4、时间类型可以用于逻辑综合。( )5、数组是将相同类型的数据集合在一起所形成的一个新的数据类型。( )6、TYPE定义的数据类型是一个“新”类型。( )7、SUBTYPE定义的数据类型是原类型的一个子集,仍属原类型。( )8、VHDL语言是一种类型特性很强的语言,要求操作对象和操作数的数据类型必须一致,不能将不同类型的信号连接起来。( )9、VHDL语言运算符没有优先级。( )10、使用算术运算时,应严格遵循赋值语句两边的数据的位长一致。( )11、无论是什么样的运算表达式都能进行逻辑综合。( )12、常量的设置是为了使设计中的常数更容易阅读和修改。( )13、变量能用于进程之间传递信号。( )14、变量是个局部量,其赋值是立即生效的。( )15、信号是个全局量,其赋值是立即生效的。( )16、VHDL仿真器允许变量和信号设置初值,但VHDL综合器则不会对其综合处理。( )答案:一、填空题1、TRUE FALSE2、1、03、74、时间类型、实数类型5、字符6、双引号7、NOTE、WARNING、ERROR、FAILURE8、逻辑运算、关系运算、算术运算、并值运算9、210、常量、变量、信号、文件二、选择题1、D2、C3、A4、A5、C6、B7、C8、D9、B10、B11、D三、判断题1、2、3、4、X5、6、7、8、9、X10、11、X12、13、X14、15、X16、一、填空题1、顺序语句只能在_、_、_内部使用。2、VHDL语言常用顺序描述语句有:_、_、_。3、wait _ a,b;4、wait _ clkenent and clk=1;5、if ab _ yd _ y q q NULL;EDN _;7、FOR i IN 0 _ 9 LOOPtmp:=tmp+1;END _;8、BLOCK内的语句是_语句。9、进程由 _、_、_三部份构成。10、并行信号赋值语句有三种_、_、_。11、选择信号赋值语句的每一子句后是_号,最后一句是_号。12、元件例化语句有_关联和_关联两种方式。13、GAL器件采用_擦除。14、PAL和GAL器件_在系统编程。15、PAL和GAL器件需要使用_编程。二、选择题1、不是顺序语句使用的程序部分是_: A:进程内部 B:函数内部 C:过程内部 D:结构体内部2、不是顺序语句是_: A:process B:if C:case D:loop3、不是顺序语句是_: A:block B:if C:case D:wait4、不是顺序语句是_: A:component B:if C:case D:loop5、在下面程序结构_中执行的语句是并行语句: A:进程 B:函数 C:过程 D:结构体6、布尔表达式Y=AB+C的正确表达式是_: A:Y=A AND B OR C; B:Y= A AND (B OR C) C:Y=AC+C D:Y=A AND B +C7、进程内不能定义: A:常量 B:变量 C:信号 D:子程序8、进程之间通过_传递信息: A:变量 B:信号 C:函数 D:过程9、有优先级关系的语句是_: A:IF B:LOOP C:CASE D:NULL10、有优先级关系的语句是_: A:简单赋值语句 B:条件赋值语句 C:选择赋值语句 D:元件例化语句三、判断题1、顺序语句按语句的先后顺序执行。( )2、进程语句本身是并行语句,但其内部是顺序执行的。( )3、函数内部也可以有并行描述语句。( )4、进程内部也可有并行描述语句。( )5、case语句应将表达式的所有取值都列出来。( )6、FOR LOOP 循环中使用的变量需预先定义。( )7、NEXT 语句只能跳出本次循环。( )8、EXIT语句结束整个循环。( )9、WAIT FOR 20ns 也能进行综合。( )10、并行语句在结构体中执行是同步的,其执行方式与程序书写顺序无关。( )11、一个结构体只能有一个进程。( )12、进程之间可以通过变量传递信息。( )13、进程只有在其敏感信号发生变化时才被执行。( )14、进程内定义的是局部量。( )15、条件信号赋值语句有优先级的关系。( )16、选择信号赋值语句不允许有条件重叠现象。( )17、条件信号赋值语句允许有条件涵盖不全现象。( )18、元件例化语句位置关联时位置必须一一对应。( )答案:一、填空题1、进程、函数、过程2、if、case、loop3、on4、until5、then elsif then6、IS OTHERS CASE7、TO LOOP8、并行9、敏感信号参数表、说明部分、顺序描述语句部分10、简单信号赋值语句、条件信号赋值语句、选择信号赋值语句11、逗、分12、位置、名字二、选择题1、D2、A3、A4、A5、D6、A7、C8、B9、A10、B三、判断题1、2、3、X4、X5、6、X7、8、9、X10、11、X12、X13、14、15、16、17、18、
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 考试试卷


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!