与IC有关部分笔试、面试题目的答案举例.ppt

上传人:za****8 文档编号:12729439 上传时间:2020-05-20 格式:PPT 页数:114 大小:3.98MB
返回 下载 相关 举报
与IC有关部分笔试、面试题目的答案举例.ppt_第1页
第1页 / 共114页
与IC有关部分笔试、面试题目的答案举例.ppt_第2页
第2页 / 共114页
与IC有关部分笔试、面试题目的答案举例.ppt_第3页
第3页 / 共114页
点击查看更多>>
资源描述
1,近年招聘会上与IC有关部分笔试、面试题目的答案举例,2,1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等的概念)。,3,单片微型计算机(简称单片机)有时也称为微控制器MCU(microcontrolunit)。当然,与MPU相比,MCU上的CPU的功能比较简单,存储器的容量也很有限。MCU已被广泛应用于各种家用电器产品以及工业控制。用得最多的是4位和8位MCU。,4,什么是MCU?,MCU(MicroControllerUnit),又称单片微型计算机(SingleChipMicrocomputer),是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。,5,设计方法上从CISC结构演变到RISC结构,通常将采用英特尔处理器的服务器称为IA(IntelArchitecture)架构服务器,由于该架构服务器采用了开放式体系,并且实现了工业标准化技术和得到国内外大量软硬件供应商的支持,在大批量生产的基础上,以其极高的性能价格比而在全球范围内,尤其在我国得到广泛的应用。2000年国内IA架构服务器供应商前三位是惠普、IBM、浪潮。,6,(1)CISC指令集CISC指令集,也称为复杂指令集,英文名是CISC,(ComplexInstructionSetComputer的缩写)。在CISC微处理器中,程序的各条指令是按顺序串行执行的,每条指令中的各个操作也是按顺序串行执行的。顺序执行的优点是控制简单,但计算机各部分的利用率不高,执行速度慢。,7,(2)RISC指令集,RISC是英文“ReducedInstructionSetComputing”的缩写,中文意思是“精简指令集”。它是在CISC指令系统基础上发展起来的,有人对CISC机进行测试表明,各种指令的使用频度相当悬殊,最常使用的是一些比较简单的指令,它们仅占指令总数的20,但在程序中出现的频度却占80。复杂的指令系统必然增加微处理器的复杂性,使处理器的研制时间长,成本高。并且复杂指令需要复杂的操作,必然会降低计算机的速度。,8,基于上述原因,20世纪80年代RISC型CPU诞生了,相对于CISC型CPU,RISC型CPU不仅精简了指令系统,还采用了一种叫做“超标量和超流水线结构”,大大增加了并行处理能力。RISC指令集是高性能CPU的发展方向。它与传统的CISC(复杂指令集)相对。相比而言,RISC的指令格式统一,种类比较少,寻址方式也比复杂指令集少。当然处理速度就提高很多了。目前在中高档服务器中普遍采用这一指令系统的CPU,特别是高档服务器全都采用RISC指令系统的CPU。RISC指令系统更加适合高档服务器的操作系统UNIX,现在Linux也属于类似UNIX的操作系统。RISC型CPU与Intel和AMD的CPU在软件和硬件上都不兼容。,9,2、FPGA和ASIC的概念,他们的区别。,ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(ApplicationSpecificIC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点。,10,从ASIC的发展看,它的主要特点不单纯在其本身的专用性,其更深的含义在于用户直接参与集成电路的设计。由于ASIC是系统设计的一部分,它要求系统设计者直接参与芯片电路设计。ASIC可以是专为某一类特定应用而设计的集成电路,称为标准专用电路(ASSP-ApplicationSpecificStandardProduct),也可以是专为某一用户的特定应用而设计的集成电路,称为定制专用电路。,11,FPGA(FieldProgrammableGateArray)是可编程ASIC。FPGA兼顾了PLD和门阵列两者的优点:具有门阵列电路那样的单元阵列结构,但单元与门阵列不同,每个单元包含了PLA、若干寄存器和多路开关。又象PLD那样,用户可以通过编程,任意设定每个单元的内部电路结构以及单元之间的连线基本特征:不需要定制式掩膜层,通过可编程实现组合逻辑和时序逻辑,12,3、什么叫做OTP片、掩膜片,两者的区别何在?,13,什么是OTP?(OTP-一次性可编程/可编程的一次性烧录),OTP(OneTimeProgrammable)是MCU的一种存储器类型。MCU按其存储器类型可分为掩膜片-MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASHROM等类型。MASKROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSHROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;,14,OTPROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。,15,熔丝型开关,反熔丝型开关,0,0,0000,1,1,1001,用高压将PLICE介质击穿。,熔丝断开为1,PLICE(可编程逻辑互连电路单元),16,在反熔丝PROM中,各连接点放的不是熔丝,而是一种PLICE编程单元,如图所示。未编程时纵线和横线间是不通的,编程时对需要连接处加上高压使其中PLICE(可编程逻辑互连电路单元)介质击穿而短路,从而达到该点逻辑连接的目的。,反熔丝编程示意图(a)反熔丝编程阵列结构(b)PLICE编程元件,17,18,19,4、如何了解代工公司的情况?,了解一家IC代工公司(foundry)最直接和简便的方法,是认真浏览该代工公司的技术发展路线图,20,华润上华科技有限公司CSMC技术发展路线,21,华润上华科技有限公司CSMC技术发展路线(续),22,什么是eFlach?,嵌入(embed)式系统是指操作系统和功能软件集成于计算机硬件系统之中。简单的说就是系统的应用软件与系统的硬件一体化,具有软件代码小,高度自动化,响应速度快等特点。特别适合于要求实时的和多任务的体系。嵌入式系统不一定就是单片机。嵌入式系统主要是将软件系统固化集成到硬件系统(如放到FLASH)中。,和舰科技(苏州)有限公司Roadmap,24,什么是NVM?,只读存储器(ReadOnlyMemory,ROM)它又称固定存储器。ROM是把数据固定地存储起来,然后按给定地址进行读出,但不象RAM那样可以随时快速写入和修改,只能读出。它在停电后照样能长期保存数据,所以又被称为不挥发存储器(NonvolatileMemory)。,25,大陆、台湾Foundry技术演进,26,21世纪头10年将面临如何进行0.1m级电路的设计和制造问题。生产工艺从微米级(micro-M)(3m、2m1985年、1.5m、1m1989年)、亚微米级(submicro-SM)(0.7m、0.5m1993年)发展到深亚微米(deepsubmicro-DSM)(0.35m1997年、0.25m、0.18m2001年、0.13m),超深亚微米或亚0.1m2005年(verydeepsubmicro-VDSM)。,27,5、有几种IC版图文件格式?,GDSIIGraphicalDesignSystemII二进制格式用来备份、导入、导出版图,以及提交给Foundry流片CIFCaltechIntermediateFormat文本格式EDIFElectronicDesignInterchangeFormat文本格式EDIF格式也用于描述线路图、网表、符号等其他数据,28,6、描述你对集成电路工艺的认识。(仕兰微面试题目),29,晶圆处理制程,晶圆处理制程之主要工作为在硅晶圆上制作电路与电子元件(如电晶体管、电容器、逻辑门等),为上述各制程中所需技术最复杂且资金投入最多的过程,以微处理器(Microprocessor)为例,其所需处理步骤可达数百道,而其所需加工机台先进且昂贵,动辄数千万一台,其所需制造环境为为一温度、湿度与含尘(Particle)均需控制的无尘室/超净间(Clean-Room),虽然详细的处理程序是随著产品种类与所使用的技术有关;不过其基本处理步骤通常是晶圆先经过适当的清洗(Cleaning)之後,接著进行氧化(Oxidation)及沉积,最後进行显影、蚀刻及离子注入等反覆步骤,以完成晶圆上电路的加工与制作。,30,前部工序的主要工艺,晶圆处理制程(WaferFabrication;简称WaferFab)1.图形转换:将设计在掩膜版(类似于照相底片)上的图形转移到半导体单晶片上2.掺杂:根据设计的需要,将各种杂质掺杂在需要的位置上,形成晶体管、接触等3.制膜:制作各种材料的薄膜,31,集成电路工艺,图形转换:光刻:接触光刻、接近光刻、投影光刻、电子束光刻刻蚀:干法刻蚀、湿法刻蚀掺杂:离子注入退火扩散制膜:氧化:干氧氧化、湿氧氧化等CVD:APCVD、LPCVD、PECVDPVD:蒸发、溅射,32,后部封装、测试(在另外厂房)(1)背面减薄(2)划片、掰片(3)粘片(4)压焊:金丝球焊(5)切筋(6)整形(7)封装(8)沾锡:保证管脚的电学接触(9)老化(10)成测,筛选(11)打字、包装,33,后工序划片封装测试老化筛选,辅助工序超净厂房技术超纯水、高纯气体制备技术光刻掩膜版制备技术材料准备技术,34,7、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?(仕兰微面试题目),35,集成电路的基本制造工艺流程,1.双极集成电路的基本制造工艺2.CMOS集成电路工艺3.Bi-CMOS集成电路工艺,36,1.)双极集成电路中元件的形成过程和元件结构由典型的PN结隔离的掺金TTL电路工艺制作的集成电路中的晶体管的剖面图如下图所示,它基本上由表面图形(由光刻掩模决定)和杂质浓度分布决定。,典型数字集成电路中NPN晶体管剖面图,37,2.)CMOS集成电路工艺体硅CMOS工艺设计中阱工艺的选择(1)p阱工艺实现CMOS电路的工艺技术有多种。CMOS是在PMOS工艺技术基础上于1963年发展起来的,因此采用在n型衬底上的p阱制备NMOS器件是很自然的选择。由于氧化层中正电荷的作用以及负的金属(铝)栅与衬底的功函数差,使得在没有沟道离子注入技术的条件下,制备低阈值电压(绝对值)的PMOS器件和增强型NMOS器件相当困难。于是,采用轻掺杂的n型衬底制备PMOS器件,采用较高掺杂浓度扩散的p阱做NMOS器件,在当时成为最佳的工艺组合。,38,考虑到空穴的迁移率比电子迁移率要低近2倍多,且迁移率的数值是掺杂浓度的函数(轻掺杂衬底的载流子迁移率较高)。因此,采用p阱工艺有利于CMOS电路中两种类型器件的性能匹配,而尺寸差别较小。p阱CMOS经过多年的发展,已成为成熟的主要的CMOS工艺。与NMOS工艺技术一样,它采用了硅栅、等平面和全离子注入技术。,39,(2)n阱工艺为了实现与LSI的主流工艺增强型/耗层型(E/D)的完全兼容,n阱CMOS工艺得到了重视和发展。它采用E/DNMOS的相同的p型衬底材料制备NMOS器件,采用离子注入形成的n阱制备PMOS器件,采用沟道离子注入调整两种沟遭器件的阈值电压。n阱CMOS工艺与p阱CMOS工艺相比有许多明显的优点。首先是与E/DNMOS工艺完全兼容,因此,可以直接利用已经高度发展的NMOS工艺技术;其次是制备在轻掺杂衬底上的NMOS的性能得到了最佳化-保持了高的电子迁移率,低的体效应系数,低的n+结的寄生电容,降低了漏结势垒区的电场强度,从而降低了电子碰撞电离所产生的电流等。这个优点对动态CMOS电路,如时钟CMOS电路,多米诺电路等的性能改进尤其明显。,40,(3)双阱工艺双阱CMOS采用高浓度的n+衬底,在上面生长高阻r外延层,并在其上形成n阱和p阱。它有利于每种沟道类型的器件性能最佳化,且因存在低阻的通道,使可控硅锁闩效应受到抑制。图A(c)是双阱CMOS结构示意图。最为理想的CMOS结构应该是绝缘衬底上的CMOS技术(SOI/CMOS)。它彻底消除了体硅CMOS电路中的“可控硅锁闩”效应,提高抗辐射能力并有利于速度和集成度的提高。,41,SOI/CMOS电路利用绝缘衬底的硅薄膜(SilicononInsulator)制作CMOS电路,能彻底消除体硅CMOS电路中的寄生可控硅结构。能大幅度减小PN结面积,从而减小了电容效应。这样可以提高芯片的集成度和器件的速度。下图示出理想的SOI/CMOS结构。SOI结构是针对亚微米CMOS器件提出的,以取代不适应要求的常规结构和业已应用的兰宝石衬底外延硅结构(SOS-SilicononSapphire结构)。SOI结构在高压集成电路和三维集成电路中也有广泛应用。,42,3.)Bi-CMOS工艺,Bi-CMOS同时包括双极和MOS晶体管的集成电路,它结合了双极器件的高跨导、强驱动能力和CMOS器件的高集成度、低功耗的优点,使它们互相取长补短、发挥各自优点,制造高速、高集成度、好性能的VLSI。,43,工艺上常提到0.25、0.18指的是特征尺寸,特征尺寸(FeatureSize)/(CriticalDimension)特征尺寸定义为器件中最小线条宽度(对MOS器件而言,通常指器件栅电极所决定的沟道几何长度),也可定义为最小线条宽度与线条间距之和的一半。减小特征尺寸是提高集成度、改进器件性能的关键。特征尺寸的减小主要取决于光刻技术的改进。集成电路的特征尺寸向深亚微米发展,目前的规模化生产是0.18m、0.15m、0.13m工艺,Intel目前将大部分芯片生产制成转换到0.09m、0.065m。,44,双极型电路,双极型电路结构,45,CMOS电路,CMOS电路结构,46,Bi-CMOS电路,Bi-CMOS电路结构,47,SOI,绝缘体上硅工艺SilicononInsulator,SOI,48,8、请描述一下国内的工艺现状。,国内的集成电路的特征尺寸向深亚微米发展,目前的规模化生产是0.18m、0.15m、0.13m工艺,晶圆的尺寸也在增加,当前的主流晶圆的尺寸为4、6吋,正在向8吋晶圆迈进。,49,截至2006年,我国IC生产线共47条,其中:大尺寸线:12英寸2条、8英寸10条,共12条占25.5%,占四分之一。中尺寸线:6英寸12条、5英寸9条,共21条,占44.7%,最多为二分之一弱。小尺寸线:4英寸14条,占29.8%,三分之一弱。总之,从今年我国IC生产线投产的速度看出,“十一五”规划期间原先预计将投产20条25条芯片线的预测是完全可能实现的。因为这个预测平均要求每年投产4条5条芯片线,而头一年到十一月中旬就已增加了7条线。,50,51,52,53,54,55,56,57,9、介绍几家你所熟悉的封测厂,江苏长电科技股份有限公司(江苏新潮科技公司)Http:/www.cj-地址:江苏省江阴市滨江中路275号江苏长电科技股份有限公司是中国半导体封装生产基地,国内著名的三极管制造商,集成电路封装测试龙头企业,国家重点高新技术企业和省园林化工厂。公司占地12万平方米,净化厂房8万平方米。在2800余名员工中科技人员占40%.2004年形成年产:集成电路35亿块;大中小功率三极管150亿只的能力。,58,无锡华润安盛科技有限公司,无锡华润安盛科技有限公司(以下简称“华润安盛”),是香港上市公司华润励致的核心企业和中国著名的民族微电子企业华润微电子的下属公司,也是华润微电子与世界第三大半导体封装测试企业STATSChipPAC合资成立的中外合资股份有限公司。主要为海内外半导体芯片设计、晶圆制造供应商提供集成电路封装、测试和超薄减薄等代工服务。,59,华润安盛面向高速发展的海内外半导体市场,以“跻身全球十大半导体封装测试企业”为愿景,遵循“以最具竞争力的专业服务,成为半导体封装测试的首选,实现股东价值与员工价值的最大化”的使命,产销规模以每年30%以上的幅度增长,并跻身国内同行业领先地位,被中国半导体行业协会、中国电子信息产业发展研究院评选为“中国最具成长性的半导体封装测试企业”。,60,61,威宇科技测试封装(上海)有限公司,GAPT集团是一家外商独资的半导体后工序企业,计划于上海浦东开发区张江高科技园区投资数亿美元,建立一个完整的封装设计,组装,测试与凸晶企业,GAPT将结合现有芯片制造商及IC设计公司,为我们的客户提供最好的一站式(OneStopshopping)全方位产品及服务。GAPT第一颗PBGA27X27产品在2001年5月1日诞生并已通过所有可靠性测试并在年底开始量产;PBGA35X35、31X31、37.5X37.5(包含散热盖的设计),多芯片模组,系统芯片及TFBGA、QFN已通过审验开始量产;同时QFP高脚数产品生产线已在2002年第二季度建立,产量不断提高。,62,厦门永红电子有限公司,63,星科金朋(上海)有限公司公司简介,星科金朋公司是世界排名前列的半导体封装测试公司,提供全球各地客户整体与快捷的高质量服务。客户群包括数家晶圆代工厂、全球知名IDM大厂与遍布全球各地集成电路设计公司。服务产品种类含盖通信、电脑、电源供应器与数据型消费性产品等。以先进制造与管理技术为基础,加上全球性布局,星科金朋在全球封装测试业树立了可靠与高质量服务的标竿。星科金朋公司在全球拥有一万多名员工,在新加坡、中国及中国台湾地区、韩国、马来西亚和美国等地设有工厂。星科金朋(上海)有限公司位于上海西郊经济技术开发区,距虹桥机场仅8公里之遥,现有员工四千多人,占地面积11万平方米。公司提供定期和不定期的员工海外培训机会,为员工的发展提供广阔的平台。,64,乐山菲尼克斯半导体有限公司(中国四川乐山),安森美半导体是中国西部投资的先驱者,在1995年其作为摩托罗拉半导体元件部与乐山无线电股份有限公司合资成立了乐山菲尼克斯半导体有限公司,走在中国的西部大开发政策之前。安森美半导体在这个合资企业里拥有51的股份,乐山无线电股份有限公司占39,摩托罗拉占10。乐山菲尼克斯已成为四川省最大的外商投资企业和最大的电子出口商之一。该公司在新芯片厂投资前的核准投资额达2.80亿美元。乐山菲尼克斯已经成为安森美半导体中国乃至全球卓越的半导体制造中心,并能制造出具有世界级品质和成本保持在基准点水平的产品。工厂每年生产超过100亿只器件,生产能力正在稳定地增长。2002年8月,安森美半导体成为首家宣布在中国西部投资六英寸芯片厂的跨国公司,扩大其在乐山菲尼克斯合资厂的规模。,65,宇芯(成都)集成电路封装测试有限公司,友尼森(Unisem)(.my)成立于1989年,马来西亚第二大半导体封装测试公司,1992年开始从事独立的IC封装和测试,目前为客户提供晶圆制造,晶圆测试、IC封装与测试及相关辅助服务,拥有世界领先的半导体封装测试技术,总部位于马来西亚霹雳州怡保,并在马来西亚,英国,中国等国家拥有生产基地,约94%的产品销往欧美,6%销往亚洲。,66,2004年8月,友尼森宣布,将投资2.1亿美金在成都高新西区出口加工区西区新建友尼森旗下现代化程度最高的半导体工厂,使其成为友尼森在全球的旗舰企业宇芯(成都)集成电路封装测试有限公司,新工厂将采用目前世界上最先进的全新的设备,生产SLP、BGA、SOIC、TSSOP等高端产品。2004年底,宇芯工厂开建,2006年中开始投产。友尼森宇芯(成都)项目全部建成后,员工总数将达到4500-5600人。宇芯成都以团队精神、信赖、责任、主动、关爱为核心价值,并倾注极大的关注在员工福利、健康与安全上。我们把员工视为企业最有价值的资产,并为员工提供良好的培训包括海外培训的机会,及广阔的发展空间。,67,南通富士通,http:/www.fujitsu-,68,69,2006年度国内十大半导体企业统计结果,行业动态2007年4月9日为全面总结2006年国内各有关半导体企业所取得的成绩,依据参加全国半导体行业统计企业的上报数据,中国半导体行业协会分别排出2006年度国内10大集成电路设计企业、10大集成电路与分立器件制造企业以及10大封装测试企业,其结果如下:,70,71,72,天津,江阴,73,10、半导体工艺中,掺杂有哪几种方式?,74,掺杂工艺(扩散与离子注入)通过掺杂可以在硅衬底上形成不同类型的半导体区域,构成各种器件结构。掺杂工艺的基本思想就是通过某种技术措施,将一定浓度的价元素,如硼,或价元素,如磷、砷等掺入半导体衬底。,75,掺杂:将需要的杂质掺入特定的半导体区域中,以达到改变半导体电学性质,形成PN结、电阻、欧姆接触磷(P)、砷(As)N型硅硼(B)P型硅掺杂工艺:扩散、离子注入,76,扩散,替位式扩散:杂质离子占据硅原子的位:、族元素一般要在很高的温度(9501280)下进行,横向扩散严重。但对设备的要求相对较低。磷、硼、砷等在二氧化硅层中的扩散系数均远小于在硅中的扩散系数,可以利用氧化层作为杂质扩散的掩蔽层间隙式扩散:杂质离子位于晶格间隙:Na、K、Fe、Cu、Au等元素扩散系数要比替位式扩散大67个数量级(绝对不许用手摸硅片防止Na+沾污。),77,例如,在N型衬底上掺硼,可以使原先的N型衬底电子浓度变小,或使N型衬底改变成P型;如在N型衬底表面掺磷,可以提高衬底的表面杂质浓度。掺杂分为热扩散法掺杂和离子注入法掺杂。由光刻工艺(刻蚀)为掺杂确定掺杂的区域,在需要掺杂处(即掺杂窗口)裸露出硅衬底,非掺杂区则用一定厚度的二氧化硅或者氮化硅等薄膜材料进行屏蔽。离子注入则常采用一定厚度的二氧化硅、光刻胶或这两层材料同时作为掺杂屏蔽。,78,对P型衬底,如果将一定浓度的价元素掺入,将使原先的P型衬底空穴浓度变低,或使P型衬底改变为N型。同样的,如果在P型衬底表面掺硼,将提高P型衬底的表面浓度。所谓热扩散掺杂就是利用原子在高温下的扩散运动,使杂质原子从浓度很高的杂质源向硅中扩散并形成一定的分布。热扩散通常分两个步骤进行:预淀积和再分布。预淀积是在高温下,利用杂质源,如硼源、磷源等,对硅片上的掺杂窗口进行扩散,在窗口处形成一层较薄但具有较高浓度的杂质层。这是一种恒定表面源的扩散过程。,79,再分布是利用预淀积所形成的表面杂质层做杂质源,在高温下将这层杂质向硅体内扩散的过程。通常再分布的时间较长,通过再分布,可以在硅衬底上形成一定的杂质分布和结深。再分布是限定表面源扩散过程。,80,离子注入,离子注入是另一种掺杂技术,离子注入掺杂也分为两个步骤:离子注入和退火再分布。离子注入是通过高能离子束轰击硅片表面,在掺杂窗口处,杂质离子被注入硅本体,在其他部位,杂质离子被硅表面的保护层屏蔽,完成选择掺杂的过程。进入硅中的杂质离子在一定的位置形成一定的分布。通常,离子注入的深度(平均射程)较浅且浓度较大,必须重新使它们再分布。掺杂深度由注入杂质离子的能量和质量决定,掺杂浓度由注入杂质离子的数目(剂量)决定。,81,同时,由于高能粒子的撞击,导致硅结构的晶格发生损伤。为恢复晶格损伤,在离子注入后要进行退火处理,根据注入的杂质数量不同,退火温度在450950之间,掺杂浓度大则退火温度高,反之则低。在退火的同时,掺入的杂质同时向硅体内进行再分布,如果需要,还要进行后续的高温处理以获得所需的结深和分布。离子注入技术以其掺杂浓度控制精确、位置准确等优点,正在取代热扩散掺杂技术,成为VLSI工艺流程中掺杂的主要技术。,82,离子注入的优点:掺杂的均匀性好温度低:可小于600可以精确控制杂质分布可以注入各种各样的元素横向扩展比扩散要小得多可以对化合物半导体进行掺杂,83,11、描述CMOS电路中闩锁效应产生的过程及最后的结果,84,Latch-Up(锁定)是CMOS存在一种寄生电路的效应,它会导致VDD和VSS短路,使得晶片损毁,或者至少系统因电源关闭而停摆。这种效应是早期CMOS技术不能被接受的重要原因之一。在制造更新和充分了解电路设计技巧之后,这种效应已经可以被控制了。CMOS电路之所以会产生Latch-Up效应,我们可以用图2.29来表示。在图中我们以剖面图来看一个CMOS反相器如何发生此效应,而且它是用P型阱制造生产。在这个图中,我们同时也描绘了寄生电路,它包含了两个BJT(一个纵向npn和一个横向pnp)和两个电阻(RS是因N型衬底产生,Rw是因P阱产生)。BJT的特性和MOS是完全两样的。,85,CMOS电路中的寄生PNPN效应,86,闩锁效应为CMOS电路所独有,是由于CMOS结构中存在pnpn四层结构所形成的寄生可控硅造成的。所以nMOS或pMOS电路中不会出现闩锁效应。CMOS电路中寄生可控硅结构的形成,CMOS反相器剖面图和寄生可控硅等效电路,(b),(a),87,防止闩琐的措施:A.器件外部的保护措施电源并接稳压管。低频时加限流电阻(使电源电流30mA)尽量减小电路中的电容值。(一般C0.01F)B.使用时的注意事项:输入电压不可超过VDDVSS范围。输入信号一定要等VDDVSS电压稳定后才能加入;关机应先关信号源,再关电源。不用的输入端不能悬浮,应按逻辑关系的需要接VDD或VSS,88,89,12、简要介绍版图检查与验证,1.DRC:设计规则检查(最小线宽、最小图形间距、最小接触孔尺寸、栅和源漏区的最小交叠等)实现:通过图形计算(线和线间的距离计算)DRC软件用户:编写DRC文件,给出设计规则2.ERC:检查电学规则,检测出没有电路意义的连接错误,(短路、开路、孤立布线、非法器件等),介于设计规则与行为级分析之间,不涉及电路行为实现:提取版图网表,ERC软件网表提取工具:逻辑连接复原,90,版图检查与验证(续),3.LVS:网表一致性检查概念:从版图提取出的电路网表与从原理图得到的网表进行比较,检查两者是否一致。作用与特点:主要用于保证进行电路功能和性能验证之前避免物理设计错误。可以检查出ERC无法检查出的设计错误,也可以实现错误定位实现:网表提取,LVS软件,91,版图检查与验证(续),4.后仿真:考虑版图引入的寄生量的影响,进行后仿真,保证版图能满足电路功能和性能的要求后仿真对象参数提取程序提取出实际版图参数和寄生电阻、寄生电容等寄生参数,进一步生成带寄生参数的器件级网表提取得到寄生参数文件和单元延迟文件结合,通过延迟计算器生成一个延迟文件,把该延迟文件反标(back-annotation)到网表中通过参数提取直接得到一个与路径延迟相关的延迟文件,进行反标,92,版图验证的内容,设计规则检查(DRC)电气规则检查(ERC)天线效应检查(Antenna)金属密度检查(MetalDensity)版图/线路图比较(LVS),93,设计规则检查,DesignRulesChecking,DRC检查版图中是否存在不符合设计规则的部分,并非所有设计规则都能够通过EDA软件自动检查,EDA软件也可能会报告“假错”。不符合设计规则的电路可能无法制造成功。任何改动之后,都必须重新做DRC,即使改动很小。天线效应检查和金属密度检查也属于DRC的范围,94,电气规则检查,ElectricalRulesChecking,ERC与工艺无关的电气错误短路开路悬空节点与工艺有关的电气错误错误的衬底偏置错误的电源/地连接孤立节点,95,版图/线路图比较,LayoutVersusSchematic,LVS验证版图是否与线路图一致电路仿真和版图设计是基于线路图的修改LVS错误后,必须重新进行DRC检查在需要的场合,还可以进行LVL和SVS等比较,96,寄生参数抽取,ParasiticExtraction,PEX提取版图中寄生的电阻和电容寄生器件对芯片的性能有不同程度的影响寄生参数可以反标到原始的线路图中,参加后仿真,验证寄生参数对电路功能和性能的影响,97,13、可编程逻辑器件在现代电子设计中越来越重要,请问:你所知道的可编程逻辑器件有哪些?,ROM只读存储器PLA可编程逻辑阵列(ProgrammableLogicArray)PAL可编程阵列逻辑(ProgrammableArrayLogic)GAL通用阵列逻辑(GenericArrayLogic)EPLD(ErasableProgrammableLogicDevice)可擦除、可编程逻辑器件。CPLD(ComplexProgrammableLogicalDevices)复杂可编程逻辑器件。FPGA(FieldProgrammableGateArray)-现场可编程逻辑门阵列,98,可编程逻辑器件,低密度可编程逻辑器件(LDPLD),高密度可编程逻辑器件(HDPLD),EPLD(可擦除、可编程逻辑器件)CPLD(复杂可编程逻辑器件)FPGA(现场可编程逻辑门阵列)ISP(In-SystemProgrammable)在系统编程芯片,可编程逻辑器件PLD的种类:,ROMPLA(可编程逻辑阵列)PAL(可编程阵列逻辑)GAL(通用阵列逻辑),99,A、按结构的复杂性分类,100,B、按阵列是否可编程分类,101,14、BJT及MOSFET基本的特性,102,双极晶体管,1.双极晶体管的结构,由两个相距很近的PN结组成:,分为:NPN和PNP两种形式,基区宽度远远小于少子扩散长度,103,晶体管的直流特性,共发射极的直流特性曲线,三个区域:饱和区放大区截止区,104,某硅NPN三极管的共射输出特性曲线图,105,BJT的特点,优点,垂直结构,与输运时间相关的尺寸由工艺参数决定,与光刻尺寸关系不大,易于获得高fT,高速应用,整个发射上有电流流过,可获得单位面积的大输出电流,易于获得大电流,大功率应用,开态电压VBE与尺寸、工艺无关,片间涨落小,可获得小的电压摆幅,易于小信号应用,模拟电路,106,输入电容由扩散电容决定,随工作电流的减小而减小,可同时在大或小的电流下工作而无需调整输入电容,输入电压直接控制提供输出电流的载流子密度,高跨导,107,缺点:,存在直流输入电流,基极电流,功耗大,饱和区中存储电荷上升,开关速度慢,开态电压无法成为设计参数,设计BJT的关键:获得尽可能大的IC和尽可能小的IB,108,MOSFET的结构与工作原理,MOSFETMetal-Oxide-SemiconductorFieldEffectedTransistor的缩写,即金属氧化物半导体场效应晶体管,是一种压控四端有源器件,MOS型尤其是CMOSIC已超越双极IC成为IC市场的主流产品。MOSFET按沟道区的导电载流子不同可分为NMOS和PMOS,各自又分别有增强型(常关闭型)和耗尽型(常开启型)两种类型。,109,D漏极Drain,G栅极Gate,S源极Source,B衬底Bulk,假设VG=0V时,栅氧化层中无电荷存在,则可通过对不同VG下器件能带分布的情况分析器件的工作原理。,NMOS结构示意图,p,p,-,-,PMOS结构示意图,N,110,MOS器件与双极型晶体管BJT的比较MOSFETMetalOxideSemiconductorFieldEffectTransistorBJTBipolarJunctionTransistor1、特性比较,双极与MOS器件输出特性曲线,111,双极器件(两种载流子导电)单极器件(一种载流子起作用)少子器件,有少子存贮效应多子器件,(流控),(压控),112,双极型三极管和场效应三极管的比较见表,113,15、BIPOLAR与CMOS工艺特点及电路优缺点,114,按器件结构类型分类,双极集成电路:主要由双极晶体管构成只含NPN型晶体管的双极集成电路(数字电路)含NPN型及PNP型晶体管的双极集成电路(模拟电路)金属-氧化物-半导体(MOS)集成电路:主要由MOS晶体管(单极晶体管)构成NMOSPMOSCMOS(互补MOS)双极-MOS(Bi-MOS)集成电路:同时包括双极和MOS晶体管的集成电路为Bi-MOS集成电路,综合了双极和MOS器件两者的优点,但制作工艺复杂,优点是速度高、驱动能力强,缺点是功耗较大、集成度较低,功耗低、集成度高,随着特征尺寸的缩小,速度也可以很高,
展开阅读全文
相关资源
相关搜索

当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!