《QuartusⅡ开发软件》PPT课件.ppt

上传人:za****8 文档编号:12669025 上传时间:2020-05-13 格式:PPT 页数:58 大小:1.44MB
返回 下载 相关 举报
《QuartusⅡ开发软件》PPT课件.ppt_第1页
第1页 / 共58页
《QuartusⅡ开发软件》PPT课件.ppt_第2页
第2页 / 共58页
《QuartusⅡ开发软件》PPT课件.ppt_第3页
第3页 / 共58页
点击查看更多>>
资源描述
5.1Quartus简介5.2Quartus原理图输入设计方法5.3Quartus文本输入设计方法5.4Quartus混合输入设计方法5.5Quartus使用宏功能模块设计方法,第五章Quartus开发软件,5.1Quartus简介,Quartus是Altera公司在21世纪初推出的CPLD/FPGA集成开发环境,它是该公司前一代CPLD/FPGA集成开发环境MAX+PUS的更新换代产品。Quartus提供了一种与结构无关的设计环境,其界面友好,使设计者能方便地进行设计输入、快速处理和器件编程。,5.1Quartus简介,Quartus提供了完整的多平台设计环境,能满足各种特定设计的需要。Quartus是单片可编程系统(SOPC)设计的综合性环境和SOPC开发的基本设计工具;Quartus与Matlab和DSPBuilder结合,可以进行基于FPGA的DSP系统开发,是DSP硬件系统实现的关键EDA工具。Quartus可以直接利用第三方的综合工具,如LeonardoSpectrum,并能直接调用这些工具。Quartus具备仿真功能,同时也支持第三方的仿真工具,如ModelSim。,5.1Quartus简介,Quartus包括模块化的编译器。Quartus在对设计进行处理时可以进行全编译,也可以单独运行其中的某个功能模块。Quartus还包含许多十分有用的LPM模块,它们是复杂或高级系统构建的重要组成部分。Quartus软件加强了网络功能,它具有最新的Internet技术,设计人员可以直接通过Internet获得Altera的技术支持。Altera与业界处于领先地位的EDA工具厂商组成ACCESS联盟,确保了AlteraEDA工具与这些支持Altera器件的EDA工具之间顺畅接口。,5.1Quartus简介,Altera致力于提供电路设计人员都非常熟悉的逻辑开发环境。Altera的新一代开发软件Quartus支持器件种类众多,如APEX20K、Cyclone、APEX、Excalibur、Mercury以及Stratix等新器件系列。Quartus支持多时钟定时分析、LogicLock基于块的设计、SOPC、内嵌SignalTap逻辑分析仪、功率估计器等高级工具。Quartus包含有MAX+PLUS的GUI,且易于MAX+PLUS的工程平稳地过渡到Quartus开发环境。,5.2Quartus原理图输入设计方法,模块/原理图输入方式是CPLD/FPGA设计的基本方法之一,几乎所有的设计环境都集成有原理图输入方法。这种设计方法直观、易用,支持它的是一个功能强大的器件库。然而由于器件库元件通用性差,致使其移植性差,所以原理图设计方式主要是一种辅助设计方式,更多的应用于混合设计中的个别模块设计。下面以一个用160设计模十计数器为例介绍如何用QUARTUS原理图输入设计方式进行设计。,5.2Quartus原理图输入设计方法,一、创建设计工程二、设计输入三、设计项目的编译四、设计项目的仿真验证五、器件编程,5.2Quartus原理图输入设计方法,一、创建设计工程任何一项设计都是一个工程Project,QUARTUS软件中的工程由所有设计文件和与设计文件有关的设置组成。在设计前应首先为工程建立一个放置与此工程相关的所有设计文件的文件夹,此文件夹将被EDA软件默认为工作库(WorkLibrary)。一般情况下,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中;但是不要将文件夹设在计算机已有的安装目录中,也不要将工程文件直接放在安装目录中。,注意,5.2Quartus原理图输入设计方法,在QUARTUS软件中可以利用创建工程向导(NewProjectWizard)创建一个新的工程。在向导中需要指定工程的工作目录、工程名以及顶层文件名,同时可以指定工程中所要用到的设计文件、其它源文件、用户库及第三方EDA工具,也可以在创建工程的同时指定目标器件类型。下面通过一个实例说明建立工程文件的过程。,5.2Quartus原理图输入设计方法,选择File/NewProjectWizard,弹出下图所示的对话框。,键入当前工作目录,键入当前工程名,建议使用和顶层设计相同的名字,键入顶层文件的实体名,该名字必须与顶层文件名字相同。,点击Next,5.2Quartus原理图输入设计方法,点击Next,5.2Quartus原理图输入设计方法,添加设计输入文件,点击Next,5.2Quartus原理图输入设计方法,选择EDA工具,点击Next,使用Quartus软件集成的工具,5.2Quartus原理图输入设计方法,选择目标器件系列,点击Next,5.2Quartus原理图输入设计方法,选择目标器件,点击Next,5.2Quartus原理图输入设计方法,显示工程设置信息,点击Finish按钮完成工程的创建,5.2Quartus原理图输入设计方法,二、设计输入在创建好工程以后,采用原理图输入设计方式进行设计模十递加计数器的设计。选择File/New菜单,弹出如下图所示的窗口。,选择图形输入方式,点击OK按钮,打开图形编辑对话框,5.2Quartus原理图输入设计方法,图形编辑器对话框,5.2Quartus原理图输入设计方法,基本单元符号输入选Insert/Symbol,即可弹出如下图所示的Symbol对话框。,5.2Quartus原理图输入设计方法,选择器件,点击OK,所选器件出现在图形编辑工作区,5.2Quartus原理图输入设计方法,建立完整的原理图设计文件调入所需要的符号,根据设计进行符号之间的连线,为引脚、引线命名。为输入、输出引脚命名.在符号的pinname上双击左键,键入名称。连线。将鼠标移到引脚符号或连线端口,鼠标变为形状,按住鼠标左键画线,画好后松开左键。删除连线。用鼠标左键单击连线,使其成高亮线,然后按Del键即可。,5.2Quartus原理图输入设计方法,保存设计文件设计完成后,选择File菜单中的SaveAs项,出现如下图所示的保存文件对话框,在该对话框中,选择好文件保存目录,并在文件名栏中键入设计文件名。,点击保存设计,键入设计文件名,5.2Quartus原理图输入设计方法,三、设计项目的编译源文件输入完成后,可对设计进行编译,编译由QUARTUS编译器完成。QUARTUS编译器主要完成设计项目的检查和逻辑综合,将项目最终设计结果生成可编程逻辑器件的下载文件,并为模拟和编程产生输出文件。,5.2Quartus原理图输入设计方法,编译器窗口选择Tools/CompilerTool菜单项,弹出如下图所示的编译器窗口,该窗口包含了对设计文件处理的全过程。,5.2Quartus原理图输入设计方法,编译器选项设置QUARTUS软件的所有设置选项都可在Settings对话框中找到。打开Setting对话框选择Assignments/Setting菜单命令;打开如下图所示的Setting对话框。,5.2Quartus原理图输入设计方法,选择目标器件及配置方式,选择器件,点击Device,点击选择Configuration页面,选择器件的配置方式,5.2Quartus原理图输入设计方法,启动编译器编译完后,在下图所示的编译报告窗口查看编译报告信息。,5.2Quartus原理图输入设计方法,四、设计项目的仿真验证,在把设计项目编程或配置到器件之前,设计者可以通过QUARTUS仿真器对设计的功能与时序进行仿真,以保证设计的正确性。下面说明如何用矢量波形文件(.vwf)作为激励源进行仿真。,5.2Quartus原理图输入设计方法,创建一个仿真波形文件创建新波形矢量文件选择菜单命令File/New,弹出New对话框,在该对话框中选择OtherFiles标签页并从中选择VectorWaveformFile,点击OK按钮,打开波形编辑器窗口,如下图所示.,5.2Quartus原理图输入设计方法,添加输入/输出信号节点选择菜单命令View/UtilityWindows/NodeFinder,弹出NodeFinder窗口,如下图所示.,选择pin:all,点击按钮列出所有节点,点击确认加入所选节点,选择要加入的节点,5.2Quartus原理图输入设计方法,编辑输入信号节点波形时钟节点波形的输入:在时钟节点信号名上点击鼠标右键,从右键菜单中选择Value/Clock命令,则弹出时钟信号设置对话框,直接输入时钟周期、相位以及占空比。任意波形信号输入:拖动鼠标左键在波形编辑区中选择需要编辑的区域,然后在选中的区域上点击右键,在Value菜单中选择需要设置的波形。保存波形文件选择File/Save或File/SaveAs命令保存波形文件。,5.2Quartus原理图输入设计方法,设计仿真打开仿真器页面选择菜单命令Assignments/Settings,弹出下图所示窗口。,选择仿真类型,点击确认,选择Simulator,5.2Quartus原理图输入设计方法,启动仿真器选择Processing/StartSimulation命令启动仿真器。仿真结果分析打开仿真报告窗口选择菜单命令Processing/SimulatorReport,打开仿真报告窗口。查看仿真波形在仿真报告窗口中查看输出波形,检验所设计电路的功能是否正确,,5.2Quartus原理图输入设计方法,五、器件编程选择菜单命令Tool/Programmer,弹出下图所示的编程器窗口。,设置编程器,选择编程模式,点击Start按钮开始编程,加入配置文件,5.3Quartus文本输入设计方法,文本输入设计是一种常用的数字系统设计方式,大型设计中一般都采用此种设计方法。此方法的特点是易于使用自顶向下的设计方法、易于模块划分和复用、移植性强、通用性好、设计不因芯片工艺和结构的改变而变化、利于向ASIC的移植。文本输入设计方法基本步骤包括设计输入、项目编译和仿真验证。其中项目编译和仿真验证与原理图法类似。,5.3Quartus文本输入设计方法,文本输入1、创建工程2、建立文本编辑文件打开文本编辑器在创建好一个设计工程之后,选择File/New菜单,在弹出的New新建设计文件选择窗口中选择DeviceDesignFiles标签页下的输入源文件的类型,这里选择VHDLFile,然后点击OK按钮,即可打开一个文本编辑器窗口。,5.3Quartus文本输入设计方法,编辑文本文件可在文本编辑窗口直接输入文本文件。,保存文本设计文件文本输入完成后,保存设计。VHDL语言的文件扩展名为.vhd。然后进行项目编译和仿真验证。,输入设计文本文件,5.4Quartus混合输入设计方法,一、创建工程二、译码电路模块的设计三、顶层设计四、仿真验证,5.4Quartus混合输入设计方法,设计一个十进制递加计数译码电路。一、创建工程利用NewProjectWizard建立设计工程。,例,5.4Quartus混合输入设计方法,二、译码电路模块的设计译码电路采用VHDL语言进行设计。源程序输入完成后,将其存入已创建好的文件夹中。vhd文件在激活状态下选择菜单命令File下Create/Update项中的CreateSymbolFilesforCurrentFile,开始对设计进行编译。若无错误,则为当前设计生成一个符号led7;若有错,查看编译报告并修改,直到正确为止。,5.4Quartus混合输入设计方法,三、顶层设计顶层文件的设计输入采用原理图输入法。新建一个“BlockDiagram/SchematicFile”类型的文件,打开图形编辑窗口。,调入input引脚,调入output引脚,调入译码器模块led7,调入74160器件,5.4Quartus混合输入设计方法,连线,完成顶层原理图的输入。,5.4Quartus混合输入设计方法,四、仿真验证对顶层原理图设计进行完全编译,完成之后建立仿真波形文件进行时序仿真,仿真波形文件如下图所示,5.5Quartus使用宏功能模块设计方法,一、宏功能模块概述二、以图形方式调用宏功能模块进行设计,5.5Quartus使用宏功能模块设计方法,一、宏功能模块概述AlteraLPM宏功能模块是一些复杂或高级的构建模块,可以在Quartus设计文件中和门、触发器等基本单元一起使用,这些模块的功能一般都是通用的,比如Counter、FIFO、RAM等。Altera可以提供的基本宏功能模块有门单元模块、算术运算模块、I/O模块和存储器模块等。,5.5Quartus使用宏功能模块设计方法,二、以图形方式调用宏功能模块进行设计,采用EAB单元设计七段译码器1、创建工程利用NewProjectWizard建立设计工程。,例,5.5Quartus使用宏功能模块设计方法,2定制宏功能模块1)定制LPM_ROM初始化数据文件建立.mif格式文件选择菜单命令File/New,在弹出的New窗口中单击Otherfiles标签,接着选择MemoryInitializationFile项,单击OK按钮,弹出ROM数据文件大小选择窗,如下图所示。,键入数据个数,键入数据宽度,点击OK,5.5Quartus使用宏功能模块设计方法,在mif数据表存储器窗口中输入内容,如下图所示。内容输入完成后,选择菜单命令File/SaveAs,保存数据文件。,键入数据文件,5.5Quartus使用宏功能模块设计方法,2)定制ROM元件使用MegaWizardPlug-InManager对宏功能模块进行参数化并建立包装文件。*启动Megawizard管理器在图形编辑器窗口的工作区中双击鼠标左键,弹出如下图所示的Symbol对话框。,选择lpm_rom模块,点击MegaWizardPlug-InManager按钮,5.5Quartus使用宏功能模块设计方法,在MegaWizard启动窗口中选择创建一个新的宏功能(Createanewcustommegafunctionvariation)选项。,选择创建一个新的宏功能选项,点击Next按钮,5.5Quartus使用宏功能模块设计方法,在宏功能模块选择窗窗口中选择。,点击Next按钮,选择lpm_rom模块,调入input引脚,键入输出文件,选择器件,5.5Quartus使用宏功能模块设计方法,在lpmledrom参数设置页面中设置参数。,选择数据个数,点击Next按钮,选择输出宽度,选择器件,5.5Quartus使用宏功能模块设计方法,在地址数据锁存控制信号设置页面中选用地址数据不锁存方式。,点击Next按钮,选择地址数据不锁存方式,5.5Quartus使用宏功能模块设计方法,在lpmledrom类型/内容设置页面中设置。,点击Next按钮,调入初始化文件,5.5Quartus使用宏功能模块设计方法,进入MegaWizard可产生的文件类型设置页面本设计选择*.cmp文件类型,该类型文件是VHDL设计中使用的单元声明文件。,点击按钮产生输出文件,选择*.cmp文件类型,5.5Quartus使用宏功能模块设计方法,3图形设计输入用图形化设计方法打开一个新设计。,输入设计文件,5.5Quartus使用宏功能模块设计方法,4编译、仿真对设计全程编译完成之后,建立仿真波形文件,仿真结果如下图所示。,仿真波形,第五章到此为止,
展开阅读全文
相关资源
相关搜索

当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!