任意频率正弦波形发生器电路解读

上传人:新**** 文档编号:95411736 上传时间:2022-05-24 格式:DOCX 页数:25 大小:546.94KB
返回 下载 相关 举报
任意频率正弦波形发生器电路解读_第1页
第1页 / 共25页
任意频率正弦波形发生器电路解读_第2页
第2页 / 共25页
任意频率正弦波形发生器电路解读_第3页
第3页 / 共25页
点击查看更多>>
资源描述
承德才号Huaqiao university电子设计自动化课程设计题目:任意频率正弦波形发生器电路院(系)信息科学与工程学院专 业通信工程届别班级学号姓名任课老师摘要本次课程设计的主要内容为采用VHDL硬件描述语言实现任意频率正弦波形发生器电路的编程,在QuartusII软件上实现仿真,并利用Altera公司的FPGA器件及其外围电路和示波 器实现其实际功能。整个系统由输入选择模块、 分频模块、 并行 D/A 转换器、 频率显示模块组成。 输入选择模块由 8 个脉冲按键组成, 其中 7 个为数字输入, 1 个为选择按键; 分频模块由 3 个计数器、1 个锁存器、 1 个乘法器构成;并行D/A 转换器幅度范围为 8 位;频率显示模块由 3 个lpm_constant 和 3 个 lpm_devide 模块组成, 外接 3 个数码管, 分别显示分频后得到的频率。波形仿真结果级硬件实现均测试成功。 该波形发生器的输入采用选择输入的方式, 使分频的精度得到提高(理论上分频范围为1/127127/2),输入直接采用2 进制方式输入,较为方便。 D/A 转换器的深度增大为 1024 ,使最后示波器显示的波形更加平滑流畅。关键字: 任意分频波形发生器VHDL FPGA 示波器目录第一章 系统设计 4一、 设计要求 4二、系统设计方案4三、总体方案的论证与比较5第二章 单元电路设计5一、输入选择模块5二、分频模块51、 M计数器 52、 N计数器 63、 频率计算模块 6三、D/A 转化器 8四、频率显示模块9第三章软件设计 9一、软件设计平台 9二、程序流程方框图 10三、实现的功能10四、测试仪器10第四章系统测试 10一、系统性能指标10二、功能的测试方法、步骤 11三、仪器设备名称、型号11四、测试数据11第五章 总结与反思 12参考文献 13附录13第一章 系统设计一、 设计要求正弦波输出,幅度范围 8 位(并行 D/A 转换器DAC0832) ;输出信号频率可任意设置; 十进制显示输出正弦波的频率。实现波形仿真并通过实验箱显示频率, 示波器显示频率和波形,且示波器上所示频率应与实验箱上显示一致。二、 系统设计方案系统设计思路:图1.1原理图Ifti-rirrwrllE J| qEartn& 0dfeniHl QremH? XOM如3般m37J便1丽帕 E|OMOAMfia CI111HH一曰JBL.盯 mnflanjjl 3I-*:.:Uillpr c: clockW 刈该lpm_rom模块是QuartusII软件中自带模块,需要时可直接调用,其输入为转换器的深度,即一个波形周期内横向点数,故该值越大,波形越光滑流畅。输出为转换器幅度。四、频率显示模块频率输出模块由于本设计中将输出频率的范围设置为0999,只需要3个输出,分别为百位、十位、 个位,分别在3个数码管上显示。采用不断模10的方式将每一位数逐个分离,上面已说明VHDL中使用“/”的局限性,故在此调用软件中原有的lpm_divide和lpm_constant模块来完成模10。如输入一个三个数128 ,经第一个模10模块后, 输出端a得到个位8,另一个输出端得到商12,并将12送给下一个模10模块2,该模块的输出端b得到十位2,另一输出端得到商1,并将这个1送给下一个模10 模块,当1模10,余数就为1,输出端c得到百位。下图为频率输出模块波形仿真 结果。IMadef Tiae-Bai:RQSns卜 Forfieil.SnsI 也EatI-1%17 nqi54mI:频率输出模块波形仿真图第三章软件设计一、 软件设计平台:QuartusII二、 程序流程方框图:实现的功能本设计能够对接入的实验箱上的频率进行1/127127/2( M 最小只能取到 2 )范围的分频, M.N 均为外部输入数值, 8 个按键中 7 个按键为数值输入, 1 个为选择键, 决定将输入的值给M 或是 N ; 最终分频后的频率范围为0999(最大频率范围可为 099999999 ,如有需要,可对相应程序的数值设定做修改,在频率输出部分增加相应模10 模块即可) , 本设计能在示波器上成功显示出平滑流畅且不同频率的正弦波形。程序清单输入选择器程序;M 计数器程序;N 计数器程序;L 计数器程序;D 锁存器程序;除法模块 a 程序;第四章 系统测试一、系统性能指标Flew TtotuQuartu? II VersionKevizion NameTop-1 ervel Entity NameFamilyIlfrvi cCyTlotit II EF2C5T144C3Find.Mo2S8 ? 4, SOB ( 6 % )251 / 4,603 1 5 % )47 / 4, 606 ( 1 % )IT30/09 ( 34 * )0a 192 / 119, SOS 1 T 必)2/26 ( 6 % )0/2 1。幅)Succtssful - Sun Hov IT 22:05:27 2013 9.0 Build 132 02/25/2009 SJ Full VirsionTiming HodliMet timing requireffifintsTotal logic element5Total qonbiuati onal fruicti onsHtdicated loic registersTotalTotal pinsTotal virtual pinsTotal memory bitsEmbedded Multiplier 9_bit element5Total FLLi二、功能的测试方法、步骤将仿真成功且管脚分配好的程序下载到芯片中,选择模式5,将EN对应的管脚接0.5HZ的脉冲,M模块上clk接任意频率的脉冲,将示波器的输入和接地端接 到实验箱上相应地方,打开实验箱和示波器,输入规定范围内的N.M值,观察示波器和数码箱上显示的频率是否相同且示波器上正弦波形是否随M.N的输入而变化。三、仪器设备名称、型号Altera 公司 Cyclonell 系歹U EP2c5T144C毗片实验箱示波器四、测试数据完整系统波形仿真图波形分析:由图中可看出, clk接入2048Hz脉冲,EN接入0.5HZ脉冲。先给 数值输入口 h输入2,选择输入键s输入一个上升沿,输入的“ 2”赋给M,此时 再给数值输入口 h输入16,键s输入一个下降沿,输入的“ 16”赋给N,当EN出 现下降沿时出现分频后的频率。可知此时的M.N对clk的原始频率进行了 1/128的分频(lpm_rom深度为1024),故输出值应为16HZ,图中可看出十位 b为1,个位 c为6,结果正确。第五章总结与反思本设计通过 M.N两个计数器完成对输入频率分频,分频范围是1/127127/2,通过波形仿真和硬件测试表明本设计达到标准。但其仍有不足之处:由于本设计使用模块较多,最后的顶层图形文件显得杂乱繁琐,如图 1.2所示。此时应对联系紧 密的小模块进行封装, 这样可使最后的顶层图形文件简明有序,便于分析,以后应注意。(注:老师,在做课设时还没有讲封装,望原谅哈)参考文献1、潘松、黄继业 EDA技术与VHDL (第三版)北京:清华大学出版社,20092、 附录:输入选择器程序;第 17 页M 计数器程序;N 计数器程序;L 计数器程序;D 锁存器程序;1、 输入选择器程序library ieee;ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xuanze isport(s: in std_logic;h :in std_logic_vector(6 downto 0);j,k : out std_logic_vector(6 downto 0);end xuanze;architecture one of xuanze isbeginprocess(s,h)beginif sevent and s=1 then j=h;elsif sevent and s=0 then k=h;end if;end process;end;2、 、 M 计数器程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity m isport(clk,rst,en : in std_logic;m :in std_logic_vector(6 downto 0);COUT : out std_logic);end m;architecture one of m issignal count:std_logic_vector(6 downto 0);beginprocess(clk,rst,en,m)beginif rst =1 then count0);elsif clkevent and clk=1 thenif en = 1 thenif countm-1 then count=count+1;else count0);end if;end if;end if;IF count =m-1 THEN COUT = 1;ELSE COUT = 0;END IF;end process;end;3、 N 计数器程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity n isport(clk,rst,en: in std_logic;n:in integer range 0 to 127;cq:out integer range 0 to 1023);end;architecture one of n issignal count:integer range 0 to 1023;beginprocess(clk,rst,en,n)beginif rst =1 then count=0;elsif clkevent and clk=1 thenif en = 1 thenif count1023 then count=count+n;else count=0;end if;end if;end if;cq=count;end process;end;4、 L 计数器程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity L isport(clk,en: in std_logic;CQ:out integer range 0 to 60000);end L;architecture one of L issignal p:integer range 0 to 60000;beginprocess(clk)beginif clkevent and clk=1 thenif en=1 then p=p+1;else p =0;end if;end if;end process;CQ=p;end;5、 D 锁存器程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity d isport(clk: in std_logic;t:in integer range 0 to 60000;q:out integer range 0 to 60000);end d;architecture one of d isbeginprocess(clk)beginif clkevent and clk=0 thenq=t;end if;end process;end;引脚分配e4LOutputPIN.lDl3B3_r3.3-Vimi (dedt)讨期OutputPIN.1D03E3J433,衬 LEl(dQdt)MM妙 alCirtputPIN.3E3JB工利 LiF (dsdt)a3.Q感乖OutputPIN 373_E3_THSJ-VimUdcdt)a1,0嬲OutputPNJ6J的西3J-VLVm(dndt)眼,4磅明CirtputP1N_MiE3_M3 剂 LiF (dfLit)b1,0 blOutputPIN 93-zB3JH3,MLm曲述bM0 b0OutputPNJ2JE3J3,MLEl(dE,jlt)bMCirtput=:IJ73E3.N13 剂 Lym (dedt)CpL.flJOutputFIN_B63B3.N1王利L/TTl曲砌cfl.fld cfllOutputPIN JI3队班3MLEL(dEdt)cM磅型Cirtput=:IJCzE3.N1工如 LiF (dfdt)也0d- CLInputFIN_1292B2.N1SJ-VLiTTl Ut) en:Irput户叱成231辅4 LEI也由W_0 h6IrpjtP”1E1_N13 剂 L/TTl (dfdt)h6.OJ*卜国IrpdtPINJ1BINSJ-VLiTTl (dfdt)h6.O限InputPIN1BIJfl精机皿曲fa曲h60hM1rputPIN_71Bljfi3MHEL (dedt)h6.QJ* hInputPIN_41Ei_rc33-VLVTTl 也礴h6.O hlInputPWj1EUSJ-virnifddt)h6.O0 h0IrputPlbl_l-M2B2M3.3-VL;TTl 曲印 t)h6.O磅QPOutputPN_73zE3.N1mLTTTl曲弓闻QP-0dq【&OutputPIN.724M州3MLmL(d 由此QP-HM Q5:OutputPIN_714MN3MYL/TJ1. (deadt)QP.O时QflCutputPN_704E_NQ3,MLEl(tkW闻QF-0修口国OutputPITJ.E?4MM3JVLEL 也 Ml5W5】M QOutput毗萨4MN讣YLEU# 钿 t)QP. c域QtaCutputPN_534E_N13,MLmi曲闻Qp-oQ则Outputp叱殳4网川3M LEI旭钊。qp-Q0sIrputPN_H32amM衬LEI除钿t)/ nnui%读书的好处1、行万里路,读万卷书。2、书山有路勤为径,学海无涯苦作舟。3、读书破万卷,下笔如有神。4、我所学到的任何有价值的知识都是由自学中得来的。一一达尔文5、少壮不努力,老大徒悲伤。6、黑发不知勤学早,白首方悔读书迟。一一颜真卿7、宝剑锋从磨砺出,梅花香自苦寒来。8、读书要三到:心到、眼到、口到9、玉不琢、不成器,人不学、不知义。10、一日无书,百事荒废。一一陈寿11、书是人类进步的阶梯。12、一日不读口生,一日不写手生。13、我扑在书上,就像饥饿的人扑在面包上。 高尔基14、书到用时方恨少、事非经过不知难。一一陆游15、读一本好书,就如同和一个高尚的人在交谈一一歌德16、读一切好书,就是和许多高尚的人谈话。一一笛卡儿17、学习永远不晚。一一高尔基刘向18、少而好学,如日出之阳;壮而好学,如日中之光;志而好学,如炳烛之光。19、学而不思则惘,思而不学则殆。一一孔子20、读书给人以快乐、给人以光彩、给人以才干。一一培根第1页月19日
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业管理 > 营销创新


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!