eda电子设计使用verilog语言电子琴实验报告材料

上传人:无*** 文档编号:83425768 上传时间:2022-05-01 格式:DOC 页数:12 大小:620.50KB
返回 下载 相关 举报
eda电子设计使用verilog语言电子琴实验报告材料_第1页
第1页 / 共12页
eda电子设计使用verilog语言电子琴实验报告材料_第2页
第2页 / 共12页
eda电子设计使用verilog语言电子琴实验报告材料_第3页
第3页 / 共12页
点击查看更多>>
资源描述
word电子设计自动化课程设计报告院 系:信息工程学院专 业:电子信息工程学 号:姓 名:指导教师:2013 年 月 日目 录1设计目的32题目描述与要求33课程设计报告容3设计原理与思路3操作过程4设计和调试过程中出现的问题与解决方法74设计总结和心得体会8一、 课程设计目的使用VerilogHDL语言进展前端设计,并使用Quaruts软件在实验箱上实现仿真,实现硬件电子琴。电子琴要求有8个音阶,使用外部时钟信号32MHz,能同步显示音阶。二、 课程设计题目描述和要求题目:简易电子琴的设计主要功能:1设计一个八音电子琴。2由键盘输入控制音响,同时可自动演奏乐曲。3用户可以将自己编制的乐曲存入电子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。三、课程设计报告容设计原理与思路系统由数控分频器、乐曲存储模块以与发声模块组成。数控分频器对FPGA的基准频率进展分频,得到与各个音阶对应的频率输出。乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。由发声模块产生音符对应的频率的信号来使扬声器发音。(1) 模块automusic模块automsic由auto信号来选择发声的方式,auto=0时系统自动播放置的音乐,auto=1时由键盘来手动演奏音乐。2模块TONE模块Tone是音阶发生器,当8位发声控制输入Index中某一位为高电平时,如此对应某一音阶的数值将从端口Tone输出,作为获得该音阶的分频预置值;同时由Code输出对应该音阶简谱的显示数码,如5,并由High输出指示音阶高8度显示。3模块Speaker模块Speaker中的主要电路是一个数控分频器,它由一个初值可预置的加法计数器构成,当模块Speaker由端口Tone获得一个2进制数后,将以此值为计数器的预置数,对端口Clk12MHZ输入的频率进展分频,之后由Spkout向扬声器输出发声。操作过程新建工程添加模块查找对应芯片调试程序波形仿真生成逻辑图设置管脚设计和调试过程中出现的问题与解决方法在初次编写分频模块时候,使用的是比拟根本的计数器分频法,编写程序后在modelsim软件中进展了仿真,发现无法观察到分频情况。经过分析,原因是由于分频比过大,因此在波形图中很难观察到。而且由于预分频的占空比很小,更难以观察到高电平。在原因找到后,为了考察程序的性能,我们将输入频率减小。同时适当缩小分频比,这样就得到了模块仿真中的分频波形。四、 设计总结和心得体会通过这次课程设计发现,只有理论水平提高了;才能够将课本知识与实践相整合,理论知识服务于教学实践,以增强自己的动手能力。这个实验十分有意义 我获得很深刻的经验。通过这次课程设计,我们知道了理论和实际的距离,也知道了理论和实际想结合的重要性,也从中得知了很多书本上无法得知的知识。学习不但要立足于书本,以解决理论和实际教学中的实际问题为目的,还要以实践相结合,理论问题即实践课题,解决问题即课程研究,学生自己就是一个专家,通过自己的手来解决问题比用脑子解决问题更加深刻。学习就应该采取理论与实践结合的方式,理论的问题,也就是实践性的课题。这种做法既有助于完成理论知识的巩固,又有助于带动实践,解决实际问题,加强我们的动手能力和解决问题的能力。参考文献:(五号,宋体加粗)1 松,EDA实用教程,科学,2004年附录:源程序module top(clk32MHz,handTOauto, code1,index1,high1,spkout);input clk32MHz,handTOauto; /32MHz系统时钟,键盘输入/自动演奏input 7:0 index1;/键盘输入信号output 6:0 code1; /音符显示信号output high1,spkout;/上下音节信号,音频信号wire 10:0 tone;wire 7:0 indx;automusic u0(.clk(clk32MHz),.index2(index1),.index0(indx),.auto(handTOauto);tone u1(.index(indx),.tone0(tone),.code(code1),.high(high1);speaker u2(.clk1(clk32MHz),.tone1(tone),.spks(spkout);Endmodulemodule automusic(clk,auto,index2,index0);/实现自动演奏功能。input clk,auto;/系统时钟;键盘输入/自动演奏input 7:0 index2;/键盘输入信号output 7:0 index0;/音符信号输出reg 7:0 index0;reg 4:0 count0;reg clk2;reg 22:0 count;always (clk,auto) /此过程完成对系统时钟8M的分频,得到4Hz的信号clk2beginif (auto)begin count=23d0;clk2=1b1;endelse if (clk) begincount=count+1b1;if (count=23d4000000) clk2=1b1;else if (count=23d8000000) begin clk2=1b0; count=23d0;endendendalways (posedge clk2)/此过程完成自动演奏局部曲的地址累加 beginif (count0=5d31) count0=5d0;else count0=count0+1b1;endalways (count0,auto,index2) beginif (!auto)case(count0) /此case语句:存储自动演奏局部的曲 5d0: index0=8b00000100; /35d1: index0=8b00000100; 5d2: index0=8b00000100;5d3: index0=8b00000100;5d4: index0=8b00010000;/55d5: index0=8b00010000;5d6: index0=8b00010000;5d7: index0=8b00100000;/65d8: index0=8b10000000;/85d9: index0=8b10000000;5d10: index0=8b10000000;5d11: index0=8b00000100;5d12: index0=8b00000010;/25d13: index0=8b00000010;5d14: index0=8b00000001;/15d15: index0=8b00000001;5d16: index0=8b00010000;/55d17: index0=8b00010000;5d18: index0=8b00001000;/45d19: index0=8b00001000;5d20: index0=8b00001000;5d21: index0=8b00000100;5d22: index0=8b00000010;5d23: index0=8b00000010;5d24: index0=8b00010000;5d25: index0=8b00010000;5d26: index0=8b00001000;5d27: index0=8b00001000; 5d28: index0=8b00000100; 5d29: index0=8b00000100; 5d30: index0=8b00000010; 5d31: index0=8b00000010; default: ;endcaseelse index0=index2; /键盘输入音符信号输出 end Endmodulemodule tone(index,code,high,tone0); /音阶发生器程序。input 7:0 index;/音符输入信号output 6:0 code;/音符显示信号output high;/上下音显示信号output 10:0 tone0;/音符的分频系数reg high;reg 6:0 code;reg 10:0 tone0;always (index)/此过程完成音符到音符的分频系数译码,音符的显示,上下音阶begincase(index)8b00000001: begin tone0=11d773; code=6b1001111;high=1b1;end8b00000010: begin tone0=11d912; code=6b0010010;high=1b1;end8b00000100: begin tone0=11d1116;code=6b1001100;high=1b1;end8b00010000: begin tone0=11d1197;code=6b0100100;high=1b1;end8b00100000: begin tone0=11d1290;code=6b0100000;high=1b0;end8b01000000: begin tone0=11d1372;code=6b0001111;high=1b0;end8b10000000: begin tone0=11d1410;code=6b0000000;high=1b0;enddefault: begin tone0=11d2047;code=6b0000001;high=1b0;endendcaseendendmodulemodule speaker(clk1,tone1, spks);/实现数控分频input clk1;/系统时钟input 10:0 tone1;/音符分频系数output spks;/驱动扬声器的音频信号reg 10:0 tone2;reg spks;reg preclk,fullspks,count2;reg 3:0 count;reg 10:0 count11;always (posedge clk1) /此过程对系统时钟进展4分频begincount=count+1b1;if (count=4h2)preclk=1b1;elseif (count=4h4)beginpreclk=1b0;count=4h0;endendalways (posedge preclk) /此过程按照tone1输入的分频系数对8MHz的脉冲再次分频,得到所需要的音符频率beginif (count11tone1)begincount11=count11+1;fullspks=1b1;endelsebegincount11=0;fullspks=1b0;endendalways (posedge fullspks) /此进程对fullspks进展2分频begincount2=count2;if (count2)spks=1b1;elsespks=1b0;endEndmodule12 / 12
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!