matlab设计低通滤波器本科毕业设计论文

上传人:痛*** 文档编号:63112263 上传时间:2022-03-17 格式:DOC 页数:71 大小:2.73MB
返回 下载 相关 举报
matlab设计低通滤波器本科毕业设计论文_第1页
第1页 / 共71页
matlab设计低通滤波器本科毕业设计论文_第2页
第2页 / 共71页
matlab设计低通滤波器本科毕业设计论文_第3页
第3页 / 共71页
点击查看更多>>
资源描述
摘 要在当今的数字信息的世界里,数字信号处理已经演变成一门越来越重要的学科,并在通信、语音、图像、自动控制、雷达、军事、航空航天、医疗和家用电器等众多领域得到了广泛的应用。其中数字滤波是数字信号处理的重要内容。数字滤波器可分为IIR和FIR两大类,对于IIR滤波器的设计来说主要有脉冲响应不变法和双线性变换法,而对于FIR数字滤波器的设计来说主要有窗函数法和频率采样设计法。本文应用MATLBA对数字低通滤波器进行仿真,并给出一定频率的信号通过所设计的滤波器来验证滤波器的性能,主要的研究工作主要包括以下几方面的内容:(1)介绍IIR滤波器和FIR滤波器的结构特点并比较两者之间的差别。(2)在MATLAB中应用双线性变换法和脉冲响应不变法设计IIR滤波器,给出了滤波器的频率特性图和相位特性图。(3)通过编写MATLAB程序用窗函数设计法和频率采样法来完成FIR低通滤波器的设计,并给出几个不同频率的信号通过所设定的滤波器来验证滤波器的性能。(4)在MATLAB中应用Simulink模块来仿真FIR低通滤波器,并在示波器上同时显示输入信号的频率和输出信号的频率,最后对结果进行分析。【关键字】 FIR滤波器 IIR滤波器, 双线性变换法 脉冲响应不变法 窗函数法 频率采样法 MATLAB ABSTRACTIn nowadays world of digital information, digital signal processing has become an increasingly important subject, and has been widely applied in all fields, such as medicine, communications, and other areas, digital filters are important elements of digital signal processing. Digital filters can be divided into two kinds of IIR and FIR, IIR filter design for pulse response method and bilinear transformation method, for FIR digital filter design, the main Windows function method and frequency of sampling design.In this paper simulation using MATLAB for digital low-pass filter, and to give a signal of a certain frequency through the filters designed to verify the performance of filter, the main research work mainly includes the following content: (1) Describes the structure of FIR and IIR filters filter characteristics and comparison of the differences between the two. (2) In MATLAB using bilinear transformation method and impulse response method design of IIR filters, to out of the filters of frequency response and phase characteristics. (3) Design method by writing MATLAB programs use the windows function and frequency sampling method to accomplish the design of FIR low-pass filters, and gives several different frequency signals passes through a set of filters to verify that the filters performance. (4) In the MATLAB Simulink simulation FIR low-pass filter module to the application, and also on the scope displays the frequency of the input signal and the output signal frequency, final analysis of the results.【Key words】FIR digital IIR digital filter Bilinear transformation method Impulse response method Windows function Frequency sampling method MATLAB毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。作 者 签 名: 日 期: 指导教师签名: 日期: 使用授权说明本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。作者签名: 日 期: 学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。作者签名: 日期: 年 月 日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权 大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定处理。作者签名:日期: 年 月 日导师签名: 日期: 年 月 日目 录前 言1第一章 数字滤波器2第一节 数字滤波器的简介2第二节 数字滤波器的应用3第三节 数字滤波器的实现方法分析及优点4第四节 MATLAB和Simulink简介5第五节 本章小结7第二章 数字滤波器的结构和设计原理8第一节 数字滤波器的基本结构8一、IIR滤波器的基本结构8二、FIR滤波器的基本结构10第二节 数字滤波器的设计原理12一、滤波器的设计步奏12二、滤波器的性能指标13第三节 IIR滤波器与FIR滤波器的分析比较13第四节 本章小结15第三章IIR滤波器的设计16第一节 脉冲响应不变法的基本原理16一、变化原理16二、混叠失真16三、主要特点17四、MATLAB(脉冲响应不变法)设计滤波器18第二节 双线性不变法的基本原理19一、变换原理19二、主要优缺点19三、MATLAB(双线性变化法)设计数字低通滤波器19第三节 本章小结21第四章 FIR滤波器的设计22第一节 线性相位FIR滤波器的特点22第二节 利用窗函数设计FIR低通滤波器24一、窗函数的基本思想24二、几种常用的窗函数24第二节 频率采样法设计FIR低通滤波器32一、频率采样设计法的基本原理32二、线性相位的约束32三、逼近误差及其改进措施32第三节 FIR数字滤波器的优化设计35第四节 本章小结36第五章 仿真结果及分析37第一节 MATLAB(窗函数法)设计FIR数字低通滤波器37第二节 MATLAB(频率采样法)设计FIR数字低通滤波器39第三节 Simulink仿真FIR滤波器42第四节 本章小结44结 论45致 谢46参考文献47附 录48一、英文原文48二、英文翻译55三、仿真脚本62 -65-前 言模拟滤波器与数字滤波器的设计对工程,应用数学及计算机科学都是非常重要的。对设计人员来说,滤波器是控制,信号处理和通信领域的重要组成部分。数字滤波器是数字信号处理中处理信号的一种基本方式,占有极其重要的地位。经过多年的研究,数字滤波器按单位脉冲响应h(n)的长度分类可分有限长脉冲响应(FIR)滤波器和无限长脉冲响应(IIR)滤波器。其中IIR滤波器的阶次较低,但是一般为非线性相位;若需线性相位,就要采用全通网络进行相位校正,因而会使得系统结构复杂,成本增加。而FIR滤波器的阶次虽然较高,但无需反馈,且可以做成严格的线性相位,故在图像传输、信息处理等方面广泛应用。因为两者具有不同的特点,所以设计方法也不同。数字滤波器的设计,就是根据所提供的指标,找出一个既能满足指标又能实现的系统函数,然后以此函数实现滤波器要求。本文针对数字低通滤波器的设计共分为五章。第一章是对数字滤波器的背景以及研究意义和滤波器的发展趋势做一个大体的介绍。第二章主要是对IIR和FIR滤波器的结构做了大致的描述,介绍了滤波器的设计原理,并且比较了两者之间的差异。第三章介绍了IIR滤波器的两种设计方法脉冲响应不变法和双线性变换法,并对两者的优缺点进行了比较。第四章介绍了用窗函数法和频率采样法来设计FIR滤波器,两种方法分别从时域和频域为出发点来进行设计。第五章在前面讨论的基础上进行了滤波器性能仿真,在MATLAB平台下,给出一个由若干频率组成的信号并使之通过滤波器,通过观察滤波后信号的频谱来验证滤波器的性能。为了进一步阐述,在Simulink平台上也做了相关的验证,并对仿真结果进行了分析。 第一章 数字滤波器第一节 数字滤波器的简介当今,数字信号处理(DSP:Digital Signal Processing)技术正飞速发展,它不仅自成一门学科,更是以不同形式影响和渗透到其他学科:它与现代化通信紧密相关,与国家高科技紧密相连;它影响并且改变着我们的生产、生活方式,因此受到人们的广泛研究。其中,数字滤波器又是数字信号处理中的一项很重要的内容。通常我们所说滤波器实际上是一种频率选择性的网络,对某些频率处于其通带内的信号,它能让其衰减很小地通过,而对于某些处于其阻带内的信号,它却让其衰减很大。广义上来说,滤波就是把一个信号通过处理变成另一个信号的过程。因此滤波器实际上就是一个系统,按照模拟系统与数字系统的概念,滤波器也可以分为模拟滤波器(AF)与数字滤波器(DF)。实际生活中遇到的信号多种多样,例如广播信号、电视信号、雷达信号、通信信号、导航信号、射电天文信号、生物医学信号、控制信号、气象信号、地震勘探信号、机械振动信号、遥感遥测信号等大多都是模拟信号,是自变量连续的函数,需经过采样后变为数字信号。若滤波器的输入、输出都是数字信号,那么,该滤波器的单位脉冲响应也必须是数字序列,这样的滤波器即称为数字滤波器。实际上,数字滤波过程实质上是一个数字序列之间的运算过程,输入的数字信号通过与滤波器的单位脉冲序列相卷积转变为输出的数字序列,这种运算可以达到改变输入信号中所含频率成分的相对比例或者直接滤除某些成分。例如,对数字信号经过滤波后以限制它的某些频带或滤除噪音和干扰,或将某一特性的信号与其他信号进行分离;对信号进行频谱分析或功率谱分析以了解信号的频谱组成,进而对信号进行识别;对信号进行某种变换,使之更适合于传输,存储和应用;对信号进行编码以达到数据压缩等。因此,数字滤波器和模拟滤波器相同,只是信号的形式和实现滤波的方法不同。在信号处理过程中,所处理的信号通常都混有噪音,从接收到的信号中消除或减弱噪音是信号传输和处理中十分重要的问题。根据有用信号和噪音分别占有不同的频率,使信号通过滤波器来提取有用信号的过程称为滤波,实现滤波功能的系统称为滤波器。在近代通信中,数字滤波器应用极为广泛,并扮演着越来越重要的角色【1】。 第二节 数字滤波器的应用(1) 通信在现代通信技术中,几乎每个方面都会应用数字滤波器,比如调制、解调、数据压缩、信源编码、信道编码以及自适应均衡技术等,特别在图像处理,网络通信等方面数字滤波器都扮演着举足轻重的作用。其中,新时代的通信例如3G、4G中的关键技术都无不应用到了数字滤波的技术。(2)数字电视当今时代,数字电视基本已经取代了过去的模拟电视,高清的数字电视基本已经进入每家每户,数字刻盘技术同时也得到了极大的发展,并且有了良好的市场前景。其中,使电视领域得以蓬勃发展的关键技术视频压缩和音频压缩都无疑是以数字滤波器为基础的。(3)图像处理各种图像的处理过程中比如静止图像的和运动图像的恢复、增强、去除噪声等都应用了数字滤波的技术,并且在雷达、超声波等领域的图像成像也有不少的应用。(4) 语音处理 语音处理领域也广泛地应用了数字滤波。例如用计算机软件或者用硬件来识别人的讲话的语音识别,用软件或者硬件来模仿语音的语音合成,滤除语音中的噪声,或者从语音中提取有用频谱,还可用于语音的编码和语音信号的分析,并且大量用于现代通信中的音频处理等。今年来,各方面都取得了不少的研究成果,例如在目前的市场上,出现了很多相关的软硬件,例如盲人阅读机、哑人语音合成器、口授打印机、语音应答机,多种会说话的仪器和器具,以及通信和视听产品大量使用的音频压缩编码技术。 (5) 声纳声纳信号处理分为两大类,即有源声纳信号处理和无源声纳信号处理,有源声纳系统涉及的许多理论和技术与雷达系统相同。例如,他们都要产生和发射脉冲式探测信号,他们的信号处理任务都主要是对微弱的目标回波进行检测和分析,从而达到对目标进行探测、定位、跟踪、导航、成像显示等目的,他们要应用到的主要信号处理技术包括滤波、门限比较、谱估计等【2】。(6) 生物医学信号处理 数字滤波器在医学中的应用日益广泛,如对脑电图和心电图的分析、层析X射线摄影的计算机辅助分析、胎儿心音的自适应检测等。(7) 音乐音乐领域因为有了数字滤波器的出现也开辟了一个崭新的局面。例如,数字滤波在对音乐信号的合成、编辑、以及制造和声的特殊音乐效果等方面都显示了其的重要性,尤其随着数字时代的到来,数字滤波可以使音乐效果变得更好,还可用于谱曲录音等,大大满足了现代人们的需求。 (8) 其他领域 数字滤波器应用广泛,除了以上提到的以外,在其他领域也都扮演着极其重要的角色。例如,在环境保护中应用在对空气污染指数的监测,尤其在军事战争中应用于监测、导航等,电力系统中的监测也应用到了数字滤波,并且在经济领域也得到了应用,比如证券市场的预测、期货行情、经济效益的好坏等等【3】。 第三节 数字滤波器的实现方法分析及优点模拟滤波器的实现只能借助硬件,其元件是R、L、C及运算放大器或者电容开关。而数字滤波器的实现,大体上有如下几种方法:(1) 通过硬件实现根据所描述的数字滤波器的数学模型或者信号流图,用数字硬件装配成一台专门的设备,构成专用的信号处理机。(2)在计算机上直接用软件来实现直接通过计算机,通过软件的编写与测试来让计算机执行信号处理的过程。这种实现方法速度较慢,多用于教学与科研。(2) 用单片机来实现。目前单片机的发展速度很快,依靠单片机的硬件环境和信号处理的软件可也实现滤波,并在某些领域成功应用,如数字控制、医疗仪器等【4】。(3) 利用专门用于信号处理的DSP芯片来实现。DSP芯片较之单片机有着更为突出的优点,如内部带有乘法器、累加器,并且配有并行指令处理结构,因此,DSP芯片的出现与发展,都为信号处理的实现提供了可能【3】。同时,数字滤波器与模拟滤波器相比,还具有以下的优点:(1) 稳定性高,灵活性强。(2) 无阻抗匹配的问题。(3) 能处理低频信号并可实现严格的线性相位滤波和多维滤波。(4) 可简单地获得自适应滤波。(5) 控制数字字长可以精确地控制滤波器的精度等特点。正是由于这些特点,很多模拟滤波器也常用数字滤波器来代替,但此时首先需要将模拟信号转换成数字信号,经过数字滤波器处理后再变成模拟信号【5】。第四节 MATLAB和Simulink简介一、MATLAB简介MATLAB是美国MathWorks公司开发的一种功能极其强大新一代科学计算软件。内容极其丰富,它集数值计算、矩阵运算和信号处理与显示于一身。MATLAB是英文MATrix LABoratory的缩写,该软件最初是由美国教授Cleve Moler创立的。该软件利用了当时代表数值线性代数领域最高水平的EISPACK和LINPACK两大软件包,并且利用Fortran语言编写了最初的一套交互式软件系统,MATLAB的最初版本便由此产生了。 最初的MATLAB由于语言单一,只能进行矩阵的运算,绘图也只能用原始的描点法,内部函数只有几十个,因此功能十分简单。1984年该公司推出了第一个MATLAB的商业版,并用C语言作出了全部改写。现在的MATLAB程序是MathWorks公司用C语言开发的,第一版由steve Bangert主持开发编译解释程序,Steve Kleiman完成图形功能的设计,John Little和Cleve Moler主持开发了各类数学分分析的子模块,撰写用户指南和大部分的M文件。接着又添加了丰富的图形图像处理、多媒体功能、符号运算和与其它流行软件的接口功能,使MATLAB的功能越来越强大5。与其他高级语言相比,MATLAB语言具有以下的显著特点。(1) MATLAB的基本数据类型是双精度的、无需定义的、下标从1开始的复数矩阵。(2)MATLAB有命令行操作和编程执行两种使用方法,分别适用于简单的草稿式计算和复杂的应用开发。(3)绝大多数MATLAB函数的输入输出参数个数都是可变的,调用函数时输入输出参数的个数不同,函数完成的功能也会有一定的差异。(4)MATLAB操作界面友好,编程语言简练,算法高效准确,图形显示和数据可视化功能强大。(5)MATLAB帮助系统非常完善,内容包括各个组件的入门指南、完全用户手册和实例演示等,且有多种获取和使用帮助的简便方法。例如,即使是在 help和helpdesk帮助中显示的代码也可通过现场菜单执行或直接打开编辑。另外,MATLAB帮助内容有PDF格式和HTML格式两套,用户既可以通过阅读相关的PDF文档来系统地学习MATLAB,也可以使用中随时查找需要的信息。(6)MATLAB采用开放性结构设计。这具体体现在以下三方面的内容:一是除少数内部函数外,所有的MATLAB主包函数和各种工具箱函数都是可读可改的M文件,这使得新工具箱的开发和扩展非常方便。二是支持DDE、COM、ActiveX等技术,可以提供和接受Active组件服务;三是对外提供MATLAB的C/C+数学函数库、图形函数库以及相关的API函数,这就便于其他开发环境中使用MATLAB的强大功能,或在MATLAB中使用其他语言编写程序以提高性能【6】。2、 Simulink简介 FDATool(Filter Design & Analysis Tool)是MATLAB信号处理工具箱里专用的滤波器设计分析工具, FDATool可以设计几乎所有的基本的常规滤波器,包括FIR和FIR的各种设计方法。它操作简单,方便灵活。FDATool界面总共分两大部分,一部分是Design Filter,在界面的下半部,用来设置滤波器的设计参数,另一部分则是特性区,在界面的上半部分,用来显示滤波器的各种特性。Design Filter部分主要分为:Filter Type(滤波器类型)选项,包括Lowpass(低通)、Highpass(高通)、Bandpass(带通)、Bandstop(带阻)和特殊的FIR滤波器。Design Method(设计方法)选项,包括IIR滤波器的Butterworth(巴特沃思)法、Chebyshev Type I(切比雪夫I型)法、 Chebyshev Type II(切比雪夫II型) 法、Elliptic(椭圆滤波器)法和FIR滤波器的Equiripple法、Least-Squares(最小乘方)法、Window(窗函数)法。Filter Order(滤波器阶数)选项,定义滤波器的阶数,包括Specify Order(指定阶数)和Minimum Order(最小阶数)。在Specify Order中填入所要设计的滤波器的阶数(N阶滤波器,Specify OrderN-1),如果选择Minimum Order则MATLAB根据所选择的滤波器类型自动使用最小阶数。Frenquency Specifications选项,可以详细定义频带的各参数,包括采样频率Fs和频带的截止频率。它的具体选项由Filter Type选项和Design Method选项决定,例如Bandpass(带通)滤波器需要定义Fstop1(下阻带截止频率)、Fpass1(通带下限截止频率)、Fpass2(通带上限截止频率)、Fstop2(上阻带截止频率),而Lowpass(低通)滤波器只需要定义Fstop1、Fpass1。采用窗函数设计滤波器时,由于过渡带是由窗函数的类型和阶数所决定的,所以只需要定义通带截止频率,而不必定义阻带参数。Magnitude Specifications选项,可以定义幅值衰减的情况。例如设计带通滤波器时,可以定义Wstop1(频率Fstop1处的幅值衰减)、Wpass(通带范围内的幅值衰减)、Wstop2(频率Fstop2处的幅值衰减)。当采用窗函数设计时,通带截止频率处的幅值衰减固定为6dB,所以不必定义。Window Specifications选项,当选取采用窗函数设计时,该选项可定义,它包含了各种窗函数7。第五节 本章小结在通信技术越来越发达的今天,数字低通滤波器扮演着越来越重要的角色,在各个领域,例如军事、医学、通信、音乐等领域都发挥着无可取代的作用。随着技术的方法,目前我们可以有多种方法来实现数字滤波,例如软件、硬件、DSP芯片等。本章介绍了MATLAB软件,具体的应用参照下文。 第二章 数字滤波器的结构和设计原理第一节 数字滤波器的基本结构作为线形时不变系统的数字滤波器可以用系统函数来表示,而实现一个系统函数表达式所表示的系统可以用两种方法:一种方法是采用计算机软件实现;另一种方法是用加法器、乘法器、和延迟器等元件设计出专用的数字硬件系统,即硬件实现。不论软件实现还是硬件实现,在滤波器设计过程中,由同一系统函数可以构成很多不同的运算结构。对于无限精度的系数和变量,不同结构可能是等效的,与其输入和输出特性无关;但是在系数和变量精度是有限的情况下,不同运算结构的性能就有很大的差异。因此,有必要对离散时间系统的结构有一基本认识。一、IIR滤波器的基本结构IIR数字滤波器可以用系统函数表示为: (2.1) 由这样的系统函数可以得到表示系统输入与输出关系的常系数线形差分程为: (2.2)可见数字滤波器功能既是把输入序列x(n)通过与数字滤波器的单位脉冲响应h(n)相卷积输出序列y(n)。不同的运算处理方法决定了滤波器实现结构的不同。无限冲激响应滤波器(IIR)的单位抽样响应是无限长的,其差分方程如2.2式所示IIR滤波器的主要特点是:(1) 单位脉冲响应h(n)是无限长的。(2) 系统函数H(z)在有限的z平面()上有极点存在。(3) 结构上存在着输出到输入的反馈,即结构式是递归的。对于一个给定的线形时不变系统的系统函数,有着各种不同的等效差分方程或网络结构。由于乘法是一种耗时运算,而每个延迟单元都要有一个存储寄存器,因此采用最少乘法器和最少延迟支路的网络结构是通常的选择,以便提高运算速度和减少存储器。然而,当需要考虑有限寄存器长度的影响时,往往也采用并非最少乘法器和延迟单元的结构【8】。IIR滤波器实现的基本结构有:(1) IIR滤波器的直接型结构,如图2.1所示优点:直接型都可通过差分方程或者系统函数描述直接得出,因此变得十分方便,并且可以节省存储单元或者寄存器。缺点:系数与系统函数的零极点关系部明显,零极点难以调整,因而它们对滤波器的性能控制作用不明显;此外,这种结构极点对系统的变化非常敏感,致使系统频率响应对系数变化的反应过于灵敏,也就是对有限精度运算过于灵敏,容易出现不稳定或者产生较大的误差。图2.1 直接型 (2)IIR滤波器的级联型结构,如图2.2所示优点:系统结构的每一个基本节只是关系到数字滤波器的某一对极点和一对零点,调整系数,就能单独调整滤波器的第k对极点,而不影响其他零极点。因此,级联结构的优点是便于准确地实现数字滤波器的零极点,因而便于调整数字滤波器的频率特性。另外,这种结构受系数量化的影响也较小。因此,级联结构得到了广泛的应用。缺点:当用二进制表示零极点时,只能采用有限位字长,对于各种实现方案,其带来的误差是不一样的,因此需要优化各二阶级联的次序和极点,并且,该模式不能直接调整零点。 图2.2 级联结构 (3)IIR滤波器的并联型结构,如图2.3所示优点:二阶基本节的极点即为滤波器的极点,而其零点却与滤波器的零点不同,因此,并联型结构可以用调整系数,的办法来单独调整滤波器的一对极点的位置,另外,并联型结构中,各并联型基本节的误差相互没有影响,所以误差较小,在要求准确地传输零点的场合下,宜采用级联型结构【9】。 缺点:不能像级联型那样单独调整滤波器零点的位置。图2.3并联结构二、FIR滤波器的基本结构FIR滤波器的单位抽样响应为有限长,其长度为N,,并且一般采用非递归形式实现。通常的FIR数字滤波器有横截性和级联型两种。FIR滤波器的系统函数为 (2.3)这就是说,FIR滤波器有N-1阶极点在处,有N-1阶零点位于有限z平面的任何位置。 FIR滤波器的特点归纳如下:(1) 系统单位脉冲响应h(n)在有限个n处不为零。(2) 系统函数H(z)在处收敛,对因果系统而言,极点全部位于z=0处;而在的有限z平面只有零点。 (3)结构上主要是非递归结构,没有输出到输入的反馈。但在有些结构上,例如频率采样结构,也可以包含反馈的递归部分。FIR滤波器实现的基本结构有【10】:(1)FIR滤波器的横截型结构表示系统输入输出关系的差分方程可写作: (2.4) 直接由差分方程得出的实现结构如图2-1所示: 图2.4 FIR滤波器的横截型结构若h(n)呈现对称特性,即此FIR滤波器具有线性相位,则可以简化加横截型结构,下面分情况讨论: 图2.5 N为奇数时FIR滤波器实现结构图2.6 N为偶数时FIR滤波器实现结构(2)FIR滤波器的级联型结构将H(z)分解成实系数二阶因子的乘积形式: (2.5) 这时FIR滤波器可用二阶节的级联结构来实现,图2.7给出了N为奇数时,FIR滤波器的级联结:图2.7 FIR滤波器的级联结构这种结构的每一节控制一对零点,因而在需要控制传输零点时,可以采用这种结构它。但是这种结构所需要的系数比卷积型系数h(n)要多,因而所需的乘法次数也比卷积型的多。另外,当H(z)的阶次较高时,也不易分解。因此,普遍应用的是直接型结构【10】。第二节 数字滤波器的设计原理一、滤波器的设计步奏数字滤波器根据其单位脉冲响应函数的时域特性可分为两种,即有限长冲激响应(FIR)滤波器和无限长冲激响应(IIR)滤波器。其中,FIR滤波器的脉冲响应函数是有限长的,且没有输出到输入的反馈,因此在工程上一般采用的是非递归方式实现,设计方法一般为窗函数法和频率采样法。而IIR滤波器的脉冲响应函数是无限时间的,并且需要递归来实现,因此有时也称之为递归滤波器。IIR滤波器的设计一般采用脉冲响应不变法和双线性变换法。随着MATLAB软件尤其是MATLAB的信号处理工作箱的不断完善,也可采用工具箱直接对滤波器进行设计。 数字滤波器设计的基本步骤如下【11】:(1) 确定指标按照实际的任务要求,确定滤波器的性能指标。一般给出了滤波器的幅度指标和相位指标,其中幅度指标有两种形式。第一种是绝对幅度指标,第二种是相对指标,它以分贝的形式给出,这种指标比较流行。除了幅度和相位以外,还需给出滤波器的通带频率、截止频率等。 (2)逼近用一个因果稳定的离散线性时不变系统去逼近这个性能指标。(3)实现系统函数用一个有限精度的算法来实现这个系统函数,包括选择运算结构与选择合适的字长以及有效的数字的处理方法等。(4)技术的实现与仿真根据所设计的滤波器的系统函数,在计算机软件上或者专用的数字滤波器硬件上引用多个信号通过该滤波器,通过分析滤波以后的结构来判断滤波器的性能。二、滤波器的性能指标我们在进行滤波器设计时,需要确定其性能指标。一般来说,滤波器的性能要求往往以频率响应的幅度特性的允许误差来表征。以低通滤波器特性为例,频率响应有通带、过渡带及阻带三个范围。在通带内 : (2.6)在阻带中: (2.7)其中为通带截止频率, 为阻带截止频率,为通带误差, 为阻带误差。与模拟滤波器类似,数字滤波器按频率特性划分为低通、高通、带通、带阻、全通等类型,由于数字滤波器的频率响应是周期性的,周期为。各种理想数字滤波器的幅度频率响应如图2-8所示:图2.8 各种理想数字滤波器的幅度频率响应第三节 IIR滤波器与FIR滤波器的分析比较选择哪一种滤波器取决于每种类型滤波器的优点在设计中的重要性。为了能在实际工作中恰当地选用合适的滤波器,现将两种滤波器特点比较分析如下:(1)选择数字滤波器是必须考虑经济问题,通常将硬件的复杂性、芯片的面积或计算速度等作为衡量经济问题的因素。在相同的技术指标要求下,由于IIR数字滤波器存在输出对输入的反馈,因此可以用较少的阶数来满足要求,所用的存储单元少,运算次数少,较为经济。通常说FIR滤波器的阶数要高510倍左右。(2)在很多情况下,虽然FIR数字滤波器的成本较高,但是其能获得线性相位的高这一点是非常值得的。而对于IIR滤波器,选择性越好,其相位的非线性越严重。如果要使IIR滤波器既满足幅度特性的要求,又能够获得线性相位,那么就必须在其后面加全通网络进行相位校正,这无疑会大大加大滤波器设计的复杂性。从这一点来看,FIR滤波器显然要优于IIR滤波器。(3) FIR滤波器主要采用非递归结构,所以不存稳定性的问题,并且在进行有限精度运算中误差也较小。而IIR滤波器必须采用递归结构,极点必须在z平面单位圆内才能稳定。有时运算会进行四舍五入,因此有可能产生寄生激荡。(4)对于FIR滤波器,由于冲激响应是有限长的,其差分方程的运算是一种卷积和运算,因此可以通过快速傅里叶变换算法和其他快速算法来讲计算,运算速度快得多。但是IIR滤波器不能进行这样的运算。(5)设计方法上,IIR滤波器可以利用模拟滤波器设计的现成公式、数据和表格,因而计算量较小,对计算工具要求不高。可以用完整的设计公式来设计各种选频滤波器。一旦选定了已知的一种逼近方法(如巴特沃斯,切比雪夫等),就可以直接把技术指标带入一组设计方程计算出滤波器的阶次和系统函数的系数(或极点和零点)。FIR滤波器则几乎没有现成的设计公式。仅仅只有窗函数的计算公式,但如果要计算阻带和通带的衰减时就无公式可用。所以FIR滤波器设需要借助于计算机程序,因而计算复杂,工作量大。(6)IIR滤波器设计规格化、按频率仅可分为低通、高通、带通和带阻滤波器。而FIR滤波器则灵活很多,。因此FIR滤波器可设计出理想正交变换器、理想微分器、线性调频器等各种网络,适应性很广。而且,目前已经有很多FIR滤波器的程序软件可供使用【12】。表2-1 两种滤波器特点比较分析FIR滤波器IIR滤波器设计方法一般无解析的设计公式,要借助计算机程序来完成利用AF的成果,可简单、有效地完成设计设计结果可得到幅频特性和线性相位只能得到幅频特性,相位特性失真,如需要线性相位,须用全通网络校准,但因此会增加滤波器复杂性和阶次稳定性极点全部在原点(永远稳定)无稳定性问题有稳定性问题阶数高低结构非递归系统递归系统运算误差一般无反馈,运算误差小有反馈,由于运算中的四舍五入会产生极循环第4节 本章小结本章重点讨论了FIR和IIR数字滤波器的结构特点,同时,为了能更好地设计滤波器,介绍了设计滤波器的具体步奏和设计原理。因为FIR滤波器是线性相位的,所以对各类FIR滤波器的频谱图进行了分析。在本章的最后,比较了FIR滤波器和IIR滤波器优缺点,以便在技术指标明确的同时能最大的节约成本。第三章IIR滤波器的设计利用模拟滤波器成熟的理论和设计方法来设计IIR数字滤波器,首先是按任务要求确定数字滤波器的技术指标,然后将这个技术指标变换成相应的模拟滤波器的技术指标,再根据该技术指标设计一个模拟原型滤波器,得到其系统函数H(s),最后按一定标准将模拟原型滤波器的系统函数H(s)变换成数字滤波器的系统函数好H(z),实际上 变换是把s平面映射到z平面。第一节 脉冲响应不变法的基本原理一、变化原理 脉冲响应不变法是从滤波器的时间特性上模仿,用脉冲响应不变法设计IIR数字滤波器,就是使数字滤波器的单位脉冲响应序列h(n)模仿模拟滤波器的冲击响应h(t). 将模拟滤波器的冲击响应h(t)进行等间隔采样,使数字滤波器的单位脉冲响应序列h(n)正好等于h(t) 的采样值,即满足 (3.1) 式中,T是采样周期,因此,脉冲响应不变法是一种时域上的转换方法【13】。利用脉冲响应不变法将模拟滤波器变换成数字滤波器,实际上是先将模拟滤波器的系统函数H(s)作周期延拓,再经过z=的影射变化,从而得到数字滤波器的系统函数.二、混叠失真假设s平面上,s在轴上取值;z平面上,z在单位圆周上取值。数字滤波器的频率响应特性与模拟滤波器的频率响应特性的关系如3.2式: (3.2)这就是说,数字滤波器的频率响应是模拟滤波器的频率响应的周期延拓。因此,只有当模拟滤波器的频率响应是受限于某一频带范围内,且带限于折叠频率以内时,即满足: (3.3)才能使数字滤波器的频率响应在折叠频率以内重现模拟滤波器的频率响应而不产生混叠失真,既有 (3.4)但是,任何一个实际的模拟滤波器的频率响应都不可能是严格带限的,变换后不可避免的产生周期延拓分量的频谱交叠,即产生频率响应的混叠失真,这种频谱混叠现象,使得设计出的数字滤波器的频率响应不能很好地重现模拟滤波器的频率响应,在附近会程度不同的偏离模拟滤波在附近的频率特性,而且严重时会使数字滤波器不满足给定的技术指标。只有当模拟滤波器的频率响应在超过折叠频谱后衰减很大、很快时,频谱混叠失真才会小,在这种情况下,采用脉冲相应不变法设计数字滤波器才能得到良好效果【13】。将用脉冲响应不变法设计IIR数字滤波器的步骤如下:(1)确定数字滤波器的一组通、阻带截止频率,通带内容许的最大衰减,组袋内容许的最小衰减。(2)采用变换公式把数字滤波器的这组频率指标变换成相应的模拟滤波器的一组频率指标,而通带最大容许衰减与阻带最小容许衰减不变。(3)根据模拟滤波器的技术要求,设计其系统函数。(4)由求数字滤波器的系统函数。(5)利用校核所设计的数字滤波器是否满足技术指标要求。3、 主要特点(1)脉冲响应不变法使得数字滤波器的脉冲响应完全模仿模拟滤波器的冲击响应,也就是说时域逼近良好,数字滤波器保持了模拟滤波器的时域瞬态特性。(2)数字频率和模拟频率坐标是线性变换,即,这样,当模拟滤波器的频率响应是充分带限的,即其最高频率时,用脉冲响应不变法设计的数字滤波器将不失真地重现模拟滤波器的频率响应,既有 (3.5) 如果模拟滤波器是线性相位的低通滤波器,通过变换后,得到的数字滤波器仍然是线性相位的。(3)脉冲响应不变法最主要的缺点是由于频率响应的周期延拓而造成的混叠效应,因此这种方法只适合于用来设计带限的滤波器,如衰减特性很好的低通和带通滤波器,高于折叠频率的部分衰减越大,混叠效应越小,即失真越小。而高通和带阻滤波器则不宜采用脉冲响应不变法来设计,否则要在其前面加保护滤波器,滤掉高于折叠频率以上的频率,但这会增加系统的成本和复杂性【14】。四、 MATLAB(脉冲响应不变法)设计滤波器(1)将数字滤波器的技术指标转换为模拟滤波器的技术指标。(2)设计模拟滤波器的。(3)将转换成数字滤波器的。设计要设计的数字低通滤波器的的技术指标为,再根据得到模拟低通滤波器的的技术指标为,。比如用脉冲响应不变法设计一个200Hz的数字低通滤波器,采样频率为1000Hz,原程序代码如下 z,p,k=buttap(3); b,a=zp2tf(z,p,k); bt,at=lp2lp(b,a,200*2*pi); bz,az=impinvar(bt,at,1000); freqz(bz,az,512,1000)结果如图所示:图3.1 脉冲响应不变法设计低通滤波器第二节 双线性不变法的基本原理脉冲响应不变法是使数字滤波器在时域上较好的模仿模拟滤波器,但是由与S平面到z平面的映射具有多值性,若模拟滤波器的频率响应不是严格带限与折叠频率之内,就会使得设计出的数字滤波器不可避免地出现频谱的混叠现象。采用双线性变换法能够很好的克服这一缺点。一、变换原理双线性变换法是使数字滤波器的频率响应模拟模拟滤波器的频率响应的一种变换方法。这种方法的基本原理是:首先把整个s平面()压缩变换到某一中介平面S1()的一条从到,宽度为的横宽里,且使是平面中的这条横带与s平面有单值对应关系,然后在通过标准变换关系将此横带变换到整个z平面上去,这样就使s平面与z平面成为一一对应的单值映射关系。消除了多值变换性,也就消除了频谱混叠现象,从而克服了脉冲响应不变法带来的缺点。这同时也是双线性变换法的最大优点【15】。二、主要优缺点由于s平面和z平面之间的单值映射关系,双线性变换法除能满足对变换关系的两点要求之外,还克服了脉冲响应不变法存在的频率响应的混叠现象,这时双线性变换法的最大优点。可知模拟角频率与数字频率之间变换关系为: (3.6) 它表明s平面和z平面是单值的一一对应关系,s平面的整个轴单值对应与z平面圆的一周,也就是说频率轴是单值变换关系三、MATLAB(双线性变化法)设计数字低通滤波器(1)确定数字滤波器的一组通、阻带截止频率,通带内容许的最大衰减及阻带内容许的最小衰减。(2)采用频率预畸变的方法把数字滤波器的这组通、阻带截止频率变换成相应的模拟滤波器的一组通、阻带截止频率,变换公式为: (3.7)而通带最大容许衰减及阻带最小容许衰减不变。(3)根据以上模拟滤波器的技术指标,设计其系统函数。(4)由求数字滤波器的系统函数,其公式为 (3.8)(5)利用校核所设计的数字滤波器是否满足技术指标要求【16】。比如双线性变换法设计一个200Hz的数字低通滤波器,采样频率为1000Hz,原程序代码如下z,p,k=buttap(3);b,a=zp2tf(z,p,k);bt,at=lp2lp(b,a,200*2*pi);bz,az=bilinear(bt,at,1000);freqz(bz,az,512,1000) 图3.2 双线性变换法设计低通滤波器第3节 本章小结本章针对IIR滤波器的设计,重点介绍了脉冲响应不变法和双线性变换法,其中脉冲响应不变法是从时域来设计IIR滤波器,双线性变化法则是从频域出发。其中,脉冲响应不变法可能造成混叠现象,而采用双线性变换法,s平面和z平面是一一对应的关系,克服了这一现象,这同时也是这种方法最大的优点。第四章 FIR滤波器的设计上一章介绍了IIR滤波器的设计,IIR滤波器保留了一些典型模拟滤波器优良的幅度特性,但是其相位特性却是非线性的。若需要线性相位,就要采用全通网络进行相位校正,因而使得系统结果复杂,成本增加。而有限长单位脉冲响应(FIR)数字滤波器可以做到严格的相位特性,同时又可以具有任意的幅度特性,并且,同样的幅度特性,IIR滤波器所需阶次比FIR滤波器的低得多。第一节 线性相位FIR滤波器的特点IIR滤波器的优点是可利用模拟滤波器设计的结果,缺点是相位是非线性的,若需要线性相位,则要用全通网络进行校正。FIR滤波器的优点是可方便地实现线性相位。 FIR滤波器的频率响应为 (4.1)当为时序列,可将表示为: (4.2)其中是幅度响应,是相位函数。如果FIR滤波器的单位脉冲响应为时序列,而且满足下面条件 偶对称 (4.3) 奇对称 (4.4) 其对称中心在处,则该滤波器就具有准确的线性相位;又因为N可为奇也可为偶数,所以把以上四种线性相位FIR滤波器归纳在表4.1中 表4.1 FIR滤波器特点第二节 利用窗函数设计FIR低通滤波器一、窗函数的基本思想窗函数设计的基本思想是要选取某一种合适的理想频率选择性滤波器,然后将它的脉冲响应截断以得到一个线性相位和因果的FIR滤波器。使其频率响应逼近理想低通滤波器的频率响应。通常是在时域上进行的。其系统响应必然是有限长的,所以要用有限长的h(n)来逼近无限长的,即 (4.5)其中是有限长序列,当n=0, &nN-1固定值, &0nN-1由于理想滤波器的频响是序列的傅立叶变换,即
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 成人自考


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!