基于51单片机的图形液晶显示设计

上传人:痛*** 文档编号:44681186 上传时间:2021-12-05 格式:DOC 页数:13 大小:555KB
返回 下载 相关 举报
基于51单片机的图形液晶显示设计_第1页
第1页 / 共13页
基于51单片机的图形液晶显示设计_第2页
第2页 / 共13页
基于51单片机的图形液晶显示设计_第3页
第3页 / 共13页
点击查看更多>>
资源描述
实验三 基于51单片机的图形液晶显示设计一、实验任务要求在液晶显示屏上显示自已的姓名和学号,姓名用16*16点阵显示,学号用8*16点阵显示。二、实验原理1、 单片机选用ATMEL公司的AT89S52,液晶模块选用LCD12864,其控制器是不带字库的KS0108作为列驱动,行驱动是KS0107. 控制器的工作原来及显示控制指令集见附录(北京集粉碎电子设备制造有限公司)的有关控制器的资料。2、 取字模软件同学可以用网上下载一个。3、 液晶显示硬件电路(需要控制的有关管脚),其他的省略。LCD屏的第4脚DI为命令数据选择,接单片机的P3.3,当DI=1时,为数据;DI=0时,为指令;LCD屏的第5脚RW为读写控制,接单片机的P3.3,当RW=1时,为读数据或指令;RW=0时,写数据或指令;LCD屏的第6脚E为使能控制,接单片机的P3.2,当E由高到低变化时,数据有效;LCD屏的第15脚CS1为左半屏片选信号,接单片机的P3.0,当CS1=0时,选通左半屏;LCD屏的第16脚CS2为右半屏片选信号,接单片机的P3.1,当CS2=0时,选通右半屏。三、实验报告要求(一)KS0108详细的控制指令说明1、KS0108 控制器系列产品主要特性(1) 8位并行数据接口,适配M6800 系列时序。(2) 拥有 6464 位(512 字节)的显示存储器,其数据直接作为显示驱动信号。(3) 简单的操作指令。(4) 低功耗(具体参数见各款产品外形文件)2、接口顺序12832-112832-312864-112864-312864-712864-1612864-1812864-18A1LEDKV0GND/CS1VCCGNDVCCLEDK2LEDAVCCVCC/CS2GNDVCCGNDLEDA3V0GNDV0GNDV0V0V0VOUT4VCCERSVCCRSRSDB0/RST5GNDRSR/WV0R/WR/WDB1/CS26ER/WERSEEDB2/CS17RS/RSTDB0R/WDB0DB0DB3DB78R/WCSDB1EDB1DB1DB4DB69CSDB7DB2DB0DB2DB2DB5DB510/RSTDB6DB3DB1DB3DB3DB6DB411DB0DB5DB4DB2DB4DB4DB7DB312DB1DB4DB5DB3DB5DB5/CS1DB213DB2DB3DB6DB4DB6DB6/CS2DB114DB3DB2DB7DB5DB7DB7/RSTDB015DB4DB1CS1DB6/CS1CS1R/WE16DB5DB0CS2DB7/CS2CS2RSR/W17DB6LEDK/RST/RST/RST/RSTERS18DB7LEDAVOUTVOUTVOUTVOUTVOUTV019LEDALEDALEDAEL/BLALEDKVCC20LEDKLEDKLEDKNC/BLKLEDAGND表1 各种接口的接口顺序由表1给出的各种接口的接口顺序,选用12864-3的型号即proteus的型号选择如图1所示,按照所选型号连接好电路图,如图8所示。图1 proteus的LCD型号选择3、产品外形按照所选用的12864-3型号,其产品外形如图2所示。图2 12864-3型号产品外形图12864-3 产品有12864-3C 和12864-3D 两个升级型号,其中12864-3C 是片选高电平有效,12864-3D是片选低电平有效。可配置温补电路。4、 原理简图按照所选用的12864-3型号,其原理简图如图3所示。图3 12864点阵模块原理框图5、 接口说明名称型态电平功能描述FG铁框地GND电源地VCC输入电源输入(+5V)V0输入LCD 驱动电压输入端(对比度调节)R/W输入H/L读/写信号CS输入H/L12832 类产品片选 H:芯片1 L:芯片2CS1输入H/L片选信号,一般低有效,部分产品高电平有效,具体见各产品外形文件CS2RS输入H/L寄存器选择端 H:数据寄存器;L:命令寄存器/RST输入H/L复位信号DB0DB7输入/输出H/L数据总线VEE输入负压输入输出端LEDA输入背光正极LEDK背光负极* Vout 或名VEE,意义相同表2 接口说明6、引脚功能D0-D7三态数据总线R/W输入R/W=1,MCU 对KS0108 的读操作信号R/W=0,MCU 对KS0108 的写操作信号CS1CS2CS3输入片选信号。仅当 CS1=CS2=0 且CS3=1 时,KS0108才选通RS输入通道选择信号,C/D=1 数据通道,C/D=0 指令通道E输入使能信号RST输入复位信号,低有效。复位时,KS0108 将显示开关和显示起始行寄存器清零。表3 引脚功能7、指令列表表4 指令列表8、指令功能详解下面是 KS0108 指令写入的流程图:图4 指令写入的流程图(1)读状态字 read status格式:RS=0,R/W=1BUSY0ON/OFFRESET00001) BUSY=1 表示KS0108 正在处理计算机发来的指令或数据。此时接口电路被封锁,不能接受除读状态字以外的任何操作。BUSY=0 表示KS0108 接口控制电路已处于“准备好”状态,等待计算机的访问。2) ON/OFF:表示当前的显示状态。ON/OFF=1 表示关显示状态ON/OFF=0 表示开显示状态。3) RESET 表示当前KS0108 的工作状态,即反映RST 端的电平状态。当 RST 为低电平状态时KS0108 处于复位工作状态,RESET=1。当 RST 为高电平状态时,KS0108 为正常工作状态,RESET=0。4) 在占领设置和数据读写时要注意状态字中的 BUSY 标志。只有在BUSY=0 时,计算机对 KS0108 的操作才能有效。因此计算机在每次对KS0108 操作之前,都要读出状态字判断BUSY 是否为“0”。若不为“0”,则计算机需要等待,直BUSY=0 为止。(2)显示开关 display on/off格式:RS=0,R/W=00011111D该指令设置显示开关/触发器的状态,由此控制显示数据锁存器的工作方式,从而控制显示上的显示状态。D位为显示开/关的控制位。当D=1为显示设置,显示数据锁存器正常工作,显示屏上呈现所须的效果。此时在状态字中ON/OFF=0。当D=0为关显示设置,显示数据锁存器被置零,显示屏呈不显示状态,但显示存储器并没有被破坏,在状态组中ON/OFF=1。(3)显示起始行设置 Display start line 格式:RS=0,R/W=011L5L4L3L2L1L0该指令设置了显示起始行寄存器的内容。KS0108 有64 行显示的管理能力,该指令中L5L0 为显示起始行的地址,取值在03FH(164)范围内,它规定了显示屏上最顶一行所对应的显示存储器的行地址。如果定时间隔地,等间距地修改(如加一或减一)显示起始行寄存器的内容,则显示屏将呈现显示内容向上或向下平滑滚动的显示效果。(4)页面地址设置 Set page(X address)格式:RS=0,R/W=010111P2P1P0该指令设置了页面地址-X 地址寄存器的内容。KS0108 将显示存储器分成了8 页,指令代码中P2P0 就是要确定当前所要选择的页面地址,取值范围为07H,代表第18页。该指令规定了以后的读/写操作将在哪一个页面上进行。(5)列地址设置 Set Y address格式:RS=0,R/W=001C5C4C3C2C1C0该指令设置了Y 地址计数器的内容,C5C0=03FH(164)代表某一页面上的某一单元地址,随后的一次读或写数据将在这个单元上进行。Y 地址计数器具有自动加一功能,在每一次读/写数据后它将自动加一,所以在连续进行读/写数据时,Y 地址计数器不必每次都设置一次。页面地址的设置和列地址的设置将显示存储器单元唯一地确定下来,为后来的显示数据的读/写作了地址的选通。(6)写显示数据 Write display data格式数据:RS=1,R/W=0数据该操作将8位数据写入先前已确定的显示存储器的单元内,操作完成后列地址计数器自动加一。(7)读显示数据 Read display data格式数据:RS=1,R/W=1数据该操作将 KS0108 接口部的输出寄存器内容读出,然后列地址计数器自动加一。(二)取字模的方法使用取模软件PCtoLCD对所需的字进行取模,对取模方式进行选择,选择逐列式,如图5所示,再点击生成字模,将需要的字模生成出来。如图6所示。图5 取模方式选择图6 生成字模取出的字模如图7所示:图7 取出的字模按照同样的方法,将“班级:电子信息091;姓名:黄慧娟;学号208090508”的所有字模都取出来。(具体字模见代码)3、 详细的程序代码以及说明#include#include#include#define uchar unsigned char#define uint unsigned int#define LCD_date P0/*LCD端口定义*/sbit CS1=P23;sbit CS2=P24;sbit EN=P22;sbit RW=P21;sbit RS=P20;uchar x=0xb8;uchar y=0x40;code uchar dis=0x84,0x10,0x84,0x30,0xFC,0x1F,0x84,0x08,0x84,0x88,0x00,0x42,0xF8,0x21,0x00,0x18,0xFF,0x07,0x00,0x00,0x84,0x20,0x84,0x20,0xFC,0x3F,0x84,0x20,0x84,0x20,0x00,0x00,/*班,0*/0x20,0x22,0x30,0x67,0xAC,0x22,0x63,0x12,0x30,0x12,0x00,0x40,0x02,0x30,0x02,0x8F,0xFE,0x80,0x02,0x43,0x02,0x2C,0x62,0x10,0x5A,0x2C,0xC6,0x43,0x00,0x80,0x00,0x00,/*级,1*/0x00,0x00,0x00,0x00,0x00,0x00,0xC0,0x30,0xC0,0x30,0x00,0x00,0x00,0x00,0x00,0x00,/*:,2*/0x00,0x00,0x00,0x00,0xF8,0x1F,0x88,0x08,0x88,0x08,0x88,0x08,0x88,0x08,0xFF,0x7F,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0xF8,0x9F,0x00,0x80,0x00,0xF0,0x00,0x00,/*电,3*/0x80,0x00,0x82,0x00,0x82,0x00,0x82,0x00,0x82,0x00,0x82,0x40,0x82,0x80,0xE2,0x7F,0xA2,0x00,0x92,0x00,0x8A,0x00,0x86,0x00,0x82,0x00,0x80,0x00,0x80,0x00,0x00,0x00,/*子,4*/0x00,0x01,0x80,0x00,0x60,0x00,0xF8,0xFF,0x07,0x00,0x00,0x00,0x04,0x00,0x24,0xF9,0x24,0x49,0x25,0x49,0x26,0x49,0x24,0x49,0x24,0x49,0x24,0xF9,0x04,0x00,0x00,0x00,/*信,5*/0x00,0x40,0x00,0x30,0x00,0x00,0xFC,0x03,0x54,0x39,0x54,0x41,0x56,0x41,0x55,0x45,0x54,0x59,0x54,0x41,0x54,0x41,0xFC,0x73,0x00,0x00,0x00,0x08,0x00,0x30,0x00,0x00,/*息,6*/0x00,0x00,0xE0,0x0F,0x10,0x10,0x08,0x20,0x08,0x20,0x10,0x10,0xE0,0x0F,0x00,0x00,/*0,7*/0x00,0x00,0xE0,0x00,0x10,0x31,0x08,0x22,0x08,0x22,0x10,0x11,0xE0,0x0F,0x00,0x00,/*9,8*/0x00,0x00,0x10,0x20,0x10,0x20,0xF8,0x3F,0x00,0x20,0x00,0x20,0x00,0x00,0x00,0x00,/*1,9*/0x10,0x40,0x90,0x21,0x7F,0x1A,0x12,0x04,0x10,0x0B,0xF0,0x30,0x40,0x40,0x3C,0x42,0x10,0x42,0x10,0x42,0xFF,0x7F,0x10,0x42,0x10,0x42,0x10,0x42,0x10,0x40,0x00,0x00,/*姓,1*/0x00,0x04,0x40,0x04,0x20,0x04,0x10,0x02,0x08,0x7E,0x27,0x23,0x44,0x23,0x84,0x22,0x44,0x22,0x24,0x22,0x14,0x22,0x0C,0x22,0x04,0x7E,0x00,0x00,0x00,0x00,0x00,0x00,/*名,4*/0x00,0x00,0x00,0x00,0x00,0x36,0x00,0x36,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*:,6*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,7*/0x20,0x00,0x24,0x80,0x24,0x80,0xA4,0x5F,0xA4,0x32,0xBF,0x12,0xA4,0x12,0xE4,0x1F,0xA4,0x12,0xBF,0x12,0xA4,0x32,0xA4,0x5F,0x24,0xC0,0x24,0x00,0x20,0x00,0x00,0x00,/*黄,9*/0x00,0x00,0x2A,0x40,0x2A,0x30,0xAA,0x0A,0xFF,0x0A,0xAA,0x3A,0xAA,0x4A,0x80,0x4A,0xAA,0x5A,0xAA,0x4A,0xFF,0x4A,0xAA,0x6A,0xAA,0x0F,0x2A,0x10,0x00,0x60,0x00,0x00,/*慧,11*/0x10,0x40,0x10,0x23,0xF0,0x1A,0x1F,0x04,0x10,0x0B,0xF0,0x30,0x00,0x00,0xDE,0xFF,0x52,0x09,0x52,0x09,0x52,0x09,0x52,0x49,0x52,0x89,0xDE,0x7F,0x00,0x00,0x00,0x00,/*娟,13*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,14*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,15*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,16*/0x40,0x00,0x30,0x02,0x10,0x02,0x12,0x02,0x5C,0x02,0x54,0x02,0x50,0x42,0x51,0x82,0x5E,0x7F,0xD4,0x02,0x50,0x02,0x18,0x02,0x57,0x02,0x32,0x02,0x10,0x02,0x00,0x00,/*学,2*/0x40,0x00,0x40,0x00,0x40,0x00,0x5F,0x02,0xD1,0x07,0x51,0x02,0x51,0x02,0x51,0x22,0x51,0x42,0x51,0x82,0x51,0x42,0x5F,0x3E,0x40,0x00,0x40,0x00,0x40,0x00,0x00,0x00,/*号,4*/0x00,0x00,0x00,0x00,0x00,0x36,0x00,0x36,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*:,6*/0x00,0x00,0x70,0x30,0x08,0x28,0x08,0x24,0x08,0x22,0x88,0x21,0x70,0x30,0x00,0x00,/* 2,7*/0x00,0x00,0xE0,0x0F,0x10,0x10,0x08,0x20,0x08,0x20,0x10,0x10,0xE0,0x0F,0x00,0x00,/* 0,8*/0x00,0x00,0x70,0x1C,0x88,0x22,0x08,0x21,0x08,0x21,0x88,0x22,0x70,0x1C,0x00,0x00,/* 8,9*/0x00,0x00,0xE0,0x0F,0x10,0x10,0x08,0x20,0x08,0x20,0x10,0x10,0xE0,0x0F,0x00,0x00,/* 0,10*/0x00,0x00,0xE0,0x00,0x10,0x31,0x08,0x22,0x08,0x22,0x10,0x11,0xE0,0x0F,0x00,0x00,/* 9,11*/0x00,0x00,0xE0,0x0F,0x10,0x10,0x08,0x20,0x08,0x20,0x10,0x10,0xE0,0x0F,0x00,0x00,/* 0,12*/0x00,0x00,0xF8,0x19,0x08,0x21,0x88,0x20,0x88,0x20,0x08,0x11,0x08,0x0E,0x00,0x00,/* 5,13*/0x00,0x00,0xE0,0x0F,0x10,0x10,0x08,0x20,0x08,0x20,0x10,0x10,0xE0,0x0F,0x00,0x00,/* 0,14*/0x00,0x00,0x70,0x1C,0x88,0x22,0x08,0x21,0x08,0x21,0x88,0x22,0x70,0x1C,0x00,0x00,/* 8,15*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,16*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,1*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,2*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,3*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,4*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,5*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,6*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,7*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,8*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,9*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,10*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,11*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,12*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,13*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,14*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,15*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,16*/;void delay(uint z)uint x,y;for(x=z;x0;x-)for(y=10;y0;y-);void cmd(uchar cmd)RS=0;RW=0; EN=0;P0=cmd;EN=1;EN=0;void dat(uchar dat)RS=1;RW=0; EN=0;P0=dat;delay(1);EN=1;delay(1);EN=0;void lcd_init() cmd(0x3f); cmd(4);void infomation() /班级姓名学号信息的读取以及显示 uchar t;uint i; lcd_init(); for(t=0;t4;t+)for(y=64;y128;y+)CS1=0;CS2=1; cmd(x); cmd(y);dat(disi+);x+; cmd(x);cmd(y); dat(disi+);x-;for(y=64;y128;y+)CS1=1;CS2=0; cmd(x);cmd(y);dat(disi+);x+; cmd(x);cmd(y); dat(disi+);x-;x+=2; cmd(x);void main()uchar i;lcd_init();for(i=1;i10;i+)infomation();图8 总连接图及显示效果图图9 循环显示的效果图
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!