EDA脉冲宽度测量仪实验报告要点

上传人:文*** 文档编号:26642528 上传时间:2021-08-12 格式:DOCX 页数:13 大小:56.75KB
返回 下载 相关 举报
EDA脉冲宽度测量仪实验报告要点_第1页
第1页 / 共13页
EDA脉冲宽度测量仪实验报告要点_第2页
第2页 / 共13页
EDA脉冲宽度测量仪实验报告要点_第3页
第3页 / 共13页
点击查看更多>>
资源描述
湖南涉外经济学院课程设计报告课程名称:EDA技术与应用报告题目:脉冲宽度测量仪学生姓名:刘君玮所在学院:电子科学与信息学院专业班级: 电子信息1302学生学号:134110229指导教师: 罗志年 李刚2015年12月24日EDA课程设计任务书报告题目脉,虫度测量仪完成时间12.231.11学生姓名刘君玮专业班级电信1302指导教师罗志年李刚职称副教授讲师设计目的在电子技术及其应用领域中,常需要对各种系统工作的时间特性进行分析测量, 如图一给出的这种时间特性示意图,其中t表示时间脉冲宽度,即指脉冲起始时间 和终止时间的持续时间。T表示一个工作周期,即从脉冲的一个上升沿到下一个上 升的时间。_ 一图一脉冲宽度与周期在测量与仪器仪表领域,经常需要对数字信号的脉冲宽度进行测量, 如转速传 感器、外部系统的门控与选通脉冲,以及 PWM(永冲宽度调制)输入的频率等。因 此可以说脉冲宽度和周期是关于脉冲的重要指标。无论是模似电路还是数字电路, 往往都需要对脉冲宽度进行测量。设计内容(1)脉冲信号宽度的测量精度为 1ms(2)脉冲信号宽度的测量范围为 010s。(3)调试过程中可以用按键模拟脉冲信号。(4)测量值用5位数码管显示(可以采用静态显示)。(5)输入信号为标准TTL电平。(6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。(7)必须先进行前仿真,并打印出仿真波形。(8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设 计,各模块设计,调试与数据分析,总结)。设计步骤工作内容及时间进度安排共2周:12.22小组成员分工写好各模块的程序12.23 将程序生成的模块进行调试、整合。12.24 在实验平台上进行验证。课程设计成果1 .与设计内容对应的软件程序2 .课程设计总结报告摘要随着EDAK术的迅速发展,在EDA件平台上,根据硬件描述语言VHDL完成的设计文件, 自动地完成逻辑编译、化简、分割、综合、优化、布局线和仿真, 直至对于特定目标芯片的适配编译、 逻辑映射和编程下载等工作成了脉冲测量的发展方向。 采用此种发法, 设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDAL具的帮助下和应用相应的 FPGA/CPLDI件,就可以得到最后的设计结果。通常采用脉冲计数法, 即在待测信号的高电平或低电平用一高频时钟脉冲进行计数, 然后根据脉冲的个数计算待测信号宽度, 如图四所示。 待测信号相对于计数时钟通常是独立的, 其上升、 下降沿不可能正好落在时钟的边沿上, 因此该法的最大测量误差为一个时钟周期。例如采用 50MHz 的高频时钟,最大误差为20ns。关键词: 脉宽;脉冲;数显;电容一、概述 1二、需求分析 2三、系统设计 3四、*模块详细设计与实现 4五、结论与心得 6六、参考文献 6I1、 概述在测量与仪器仪表领域, 经常需要对数字信号的脉冲宽度进行测量,如转速传感器、外部系统的门控与选通脉冲,以及pwm脉冲宽度调制 ) 输入的频率等。因此可以说脉冲宽度和周期是关于脉冲的重要指标。 无论是模似电路还是数字电路, 往往都需要对脉冲宽度进行测量。参与此次课程设计的小组成员为:刘君玮,王郑军,刘格诚,杨卓龙。各自参与了程序编写、原理图绘制、实验验证的工作。整体情况良好,在规定时间内完成了各项工作。2、 需求分析1 脉冲检测实现对脉冲的检测,即信号的输入。2计数器对脉宽进行计数3数据选择器对数据进行选择处理4. LED显示译码器对统计的结果进行显示3、 系统设计1系统模块( 1)脉冲检测模块当有检测到 P_IN 端有脉冲输入( P_IN=1 )时, EN_OUT=1 输送到计数器EN 端。2)计数模块计数模块是对检测到的脉冲进行计数 ,计算出脉冲的宽度(3)译码显示模块对输入的脉冲宽度进行编码,然后在数码管上显示出来。2.总流程图6时钟信号计数信号输入脉冲清零复位显示四、详细程序设计(1)脉冲检测模块library ieee;use ieee.std_logic_1164.all;entity check isport(P_IN : in std_logic;EN_OUT: out std_logic);end check;architecture behave of check isbeginprocess (P_IN)beginif(P_IN=1) then EN_OUT=1; - 实现检测,若有脉冲就输出为 1else EN_OUT=0;- ,否则为 0end if;end process;end behave;( 2)计数模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count isport(EN:in std_logic;CLK:in std_logic;CLR:in std_logic;CQ:out std_logic;Qout:BUFFER std_logic_vector(3 downto 0);end count;architecture art of count isbeginU1:process(CLK,CLR,EN,QOUT)Beginif CLR=1 then Qout=0000;elsif CLKEVENT and CLK=1 thenif EN=1 thenif Qout=1001 then Qout=0000;else Qout=Qout+1;end if;end if ;end if ;if Qout=1001 thenCQ=1;elseCQ=0;end if;end PROCESS U1;end art;( 3)译码显示模块library ieee;use ieee.std_logic_1164.all;ENTITY led ISPORT(X:IN BIT_VECTOR(3 DOWNTO 0);Y:OUT BIT_VECTOR(6 DOWNTO 0);-sel:out std_logic_vector(7 downto 0);END ENTITY led;ARCHITECTURE ART OF led ISBEGIN-sel=11111110;PROCESS(X) isBEGINIF X=0000 THEN Y=1111110;-0ELSIF X=0001 THEN Y=0110000;-1ELSIF X=0010 THEN Y=1101101;-2ELSIF X=0011 THEN Y=1111001;-3ELSIF X=0100 THEN Y=011001T;-4ELSIF X=0101 THEN Y=1011011;-5ELSIF X=0110 THEN Y=0011111;-6ELSIF X=0111 THEN Y=1110000”;-7ELSIF X=1000 THEN Y=1111111”;-8ELSIF X=1001 THEN Y=1110011;-9-ELSIF X=1010 THEN Y=1110111;-A-ELSIF X=1011 THEN Y=0011111”;-b-ELSIF X=1100 THEN Y=1001110”;-C-ELSIF X=1101 THEN Y=0111101;-d-ELSIF X=1110 THEN Y=1001111;-E-ELSIF X=1111 THEN Y=1000111;-FELSE NULL;END IF;END PROCESS;END ARCHITECTURE ART;印d0|3 时 明口 JW 邳*d刮3时 ML的 科工的峋1鹏4叨 u0r.G五、总原理图与管脚锁定表4K3.O did4 H2T3.0J 由口5 MMtf7n.ni总模块图ftEl-【Fi*l l-rinwriuZ J* kidll*1 i仙蚪aq15-i $WUIISiigMUI z-ifaeiRj 13、EMUI 2 1 ”日网 ,4i;xui a 4 *K*”却 2. h Idriwll IS r * 11 Lirf西,4kl 地 工九曲aj管脚锁定表六、结论与心得经过调试及结果分析,设计满足任务要求。脉冲信号宽度的测量精度符合土 1m6测量范围为010s。这次的课程设计对于我来说是一次演练,从选题到画出流程图,再到写出程序,不停的编不停的改不停的查资料直至最后完成,有问题大家都坐在一起讨论,一起努力,一起攻克问题。我想我们享受的就是这个过程,而不仅仅只是 结果。通过这次的设计,我知道了,在任何问题面前,只要我们努力,只要我们 勇敢,我想,它们都不是问题,都不是困难。七、参考文献1高金定主编.EDA技术与应用.中国电力出版社.20102刘英.脉宽的测量和参数分析.电子信息对抗技术.20073吴大正.信号与线性系统分析.高等教育出版社.20054阎石.数字电子技术基础.第四版.北京:高等教育出版社,1998教师评语:教 师评语及设 计成绩课程设计成绩:指导教师: (签名)日期:年月日
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 管理文书 > 工作总结


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!