数字集成电路

上传人:cel****460 文档编号:243730890 上传时间:2024-09-29 格式:PPTX 页数:83 大小:2.34MB
返回 下载 相关 举报
数字集成电路_第1页
第1页 / 共83页
数字集成电路_第2页
第2页 / 共83页
数字集成电路_第3页
第3页 / 共83页
点击查看更多>>
资源描述
单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,单击此处编辑母版标题样式,*,*,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,*,单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,*,单击此处编辑母版标题样式,单击此处编辑母版文本样式,第二级,第三级,第四级,第五级,*,*,数字集成电路,3.1,组合逻辑电路的分析和设计,3.1.2 组合逻辑电路的分析方法,3.1.3 组合逻辑电路的设计方法,1.,组合逻辑电路概念,输入:,逻辑关系:,L,i,=,F,i,(,X,0,,,X,2,,、,X,n,),i,= (0、1、,2、,m,),2.组合逻辑电路的构造特点,电路由逻辑门构成,不含记忆元件,输出:,X,0,、,X,2,、,、,X,n,L,0,、,L,2,、,、,L,m,组合逻辑电路任一时刻的输出仅仅取决于,该时刻的输入,,而与,过去的输入无关。,L,m,X,n,X,0,L,0,组合逻,辑,电 路,3.1.2 组合逻辑电路的分析方法,1. 任务:逻辑电路,分析其逻辑功能,写,函,数,表,达,式,真,值,表,描,述,电,路,功,能,已,知,组,合,电,路,2.,分析步骤,结论:电路为,少数服从多数,电路, 称表决电路。,解:1逻辑表达式,2列真值表,A B C F,0 0 0 0,0 0 1 0,0 1 0 0,0 1 1 1,1 0 0 0,1 0 1 1,1 1 0 1,1 1 1 1,3分析电路的逻辑功能,多数输入变量为1,输出,F,为1;,例1:分析如下图电路的逻辑功能。,3.1.2 组合逻辑电路的分析方法,例2:,试分析图示逻辑电路的功能。,2列真值表,解:1写表达式,3.1.2 组合逻辑电路的分析方法,二进制码,格雷码,0 0 0 1 0 0 0 1,0 0 1 0 0 0 1 1,0 0 1 1 0 0 1 0,0 1 0 0 0 1 1 0,0 1 0 1 0 1 1 1,0 1 1 0 0 1 0 1,0 1 1 1 0 1 0 0,1 0 0 0 1 1 0 0,1 0 0 1 1 1 0 1,1 0 1 0 1 1 1 1,1 0 1 1 1 1 1 0,1 1 0 0 1 0 1 0,1 1 0 1 1 0 1 1,1 1 1 0 1 0 0 1,1 1 1 1 1 0 0 0,2 列真值表,二进制码,至,格雷码,的转换电路,。,B,3,B,2,B,1,B,0,G,3,G,2,G,1,G,0,0 0 0 0,0 0 0 0,3逻辑功能,1表达式,3.1.2 组合逻辑电路的分析方法,3.1.3 组合逻辑电路的设计方法,1.,任务:根据实际逻辑问题,设计实现其功能的逻辑电路.,列真,值,表,简,化,函,数,式,画,逻,辑,图,实,际,逻,辑,问,题,公式法,图形法,表达式变换,根据设计所用,芯片要求,2.,设计步骤:,例1在举重比赛中,有3名裁判,其中1名为主裁判。当有两名以上裁判其中必须有1名主裁判认为运发动举杠铃合格,就按动电钮,可发出成绩有效的信号。请设计该组合逻辑电路。,1作出逻辑规定:,输入:合格为,1,,不合格为,0,输出:成绩有效为,1,,无效为,0,2列出真值表,A B C,Y,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1,0,0,0,0,0,1,1,1,AB,AC,3求逻辑函数表达式,Y,=,AB,+,AC,3.1.3 组合逻辑电路的设计方法,4画出逻辑电路图,5化成与非-与非式,3.1.3 组合逻辑电路的设计方法,例2:,L,1,和,L,2,都是逻辑变量,A,、,B,、,C,、,D,的函数,即,L1=F1A,B,C,D=m4,5,7,12,13,15,L2=F2A,B,C,D=m4,6,7,12,14,15,试用与非门实现,L,1,和,L,2,的电路。,解:方案一:L1和L2采用如下图的卡诺图进展化简,方案二:L1和L2采用如下图的卡诺图进展化简,对于具有多个输出变量的组合逻辑电路设计,不应该单纯追求每个输出与-或表达式最简,而应该在各个输出与-或表达式中尽可能多用公共项,到达整体最简的目的。,3.1.3 组合逻辑电路的设计方法,3.2 组合逻辑电路的竞争与冒险,冒险现象的识别,竞争、冒险及其产生原因,冒险现象的消除,3.2.1竞争、冒险及其产生原因,t,pd,在输出端产生尖峰干扰,在输出端产生尖峰干扰,竞争:,冒险:,信号经由不同的途径到达某一会合点的时间有先有后,由于竞争而引起电路输出发生瞬间错误现象。,3.2.1竞争、冒险及其产生原因,3.2.2 冒险的识别,代数法,当函数表达式可以化成:,即含有互补变量,,A,变量变化可能引起冒险。,卡诺图法,A,BC,0,1,00,01,11,10,0,0,0,0,1,1,1,1,如函数卡诺图上有包围圈相切,且相切处又无其他圈包含,那么可能有险象。,3.2.3 冒险现象的消除,1. 利用冗余项,只要在卡诺图两圈相切处增加一个圈冗余,就能消除冒险。,由此得函数表达式为:,0,0,0,0,A,BC,0,1,00,01,11,10,1,1,1,1,有一逻辑函数,F,=,AC,+,BC,,其卡诺图为:,冗余项,.,吸收法,在输出端加小电容C可以消除由于竞争冒险产生的毛刺。但是输出波形的前后沿将变坏, 在对波形要求较严格时,应再加整形电路。,3.2.3 冒险现象的消除,电路稳定后参加取样脉冲,在取样脉冲作用期间输出的,信号才有效,可以防止毛刺影响输出波形。,加取样脉冲原那么:输出级为或非门,采用负脉冲;输出级为与非门,采用正脉冲。,3.2.3 冒险现象的消除,3.4,常用组合逻辑电路,编码器,译码器,数据选择器,数值比较器,加法器,3.4.1 编码器,二进制代码,某种控制信息、符号等,编 码,编码器,把每一输入信号转化为对应的编码,这种组合逻辑电路称为编码器。,有一键盘输入电路,一共有8个按键,键按下时,对应的输入信号为高电平。,编码器的作用就是把每一个键信号转化成相应的编码,键码。,3.4.1 编码器,真值表:,I,0,I,1,I,2,I,3,I,4,I,5,I,6,I,7,Y,2,Y,1,Y,0,1 0 0 0 0 0 0 0,0 1 0 0 0 0 0 0,0 0 1 0 0 0 0 0,0 0 0 1 0 0 0 0,0 0 0 0 1 0 0 0,0 0 0 0 0 1 0 0,0 0 0 0 0 0 1 0,0 0 0 0 0 0 0 1,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1,假设任何时刻,有且只有,一个键按下,逻辑表达式:,如何化简?,3.4.1 编码器,以此类推:,令,代入,Y,2,的表达式就得到:,同理,那么满足,定理:若两个逻辑变量,X、Y,同时满足,X,+,Y,=1、,XY,=0,,则有,X,=,Y,。,3.4.1 编码器,思考,:当有两个输入信号同时有效时,如,I,2,和,I,4,同时有效时,将出现什么情况?,1,Y,2,1,Y,0,1,Y,1,I,1,I,2,I,3,I,4,I,5,I,6,I,7,3.4.1 编码器,优先编码器:对输入信号规定不同的优先级,当有多个信号同时有效时,只对优先级高的信号进展编码。,真值表,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1, 0, 0 1, 0 1 1, 0 1 1 1, 0 1 1 1 1, 0 1 1 1 1 1, 0 1 1 1 1 1 1,0 1 1 1 1 1 1 1,I,0,I,1,I,2,I,3,I,4,I,5,I,6,I,7,Y,2,Y,1,Y,0,3.4.1 编码器,逻辑函数表达式,利用公式,A,+,AB,=,A,+,B,3.4.1 编码器,Y,S,=,I,0,I,1,I,7,S,Y,EX,=,I,0,I,1,I,7,S,S,使能输入,逻辑图,1,S,&,Y,EX,&,Y,S,I,0,&,&,&,1,1,1,Y,0,Y,2,Y,1,1,1,1,1,1,1,1,1,1,1,I,2,I,3,I,4,I,1,I,7,I,6,I,5,3.4.1 编码器,简化逻辑符号,逻辑符号,国标符号,3.4.1 编码器,:输入,低电平有效。,:编码输出端,:选通输入端,:选通输出端,,:扩展输出端,,I,0,I,7,Y,2,Y,0,S,S,=0时,允许编码;,S,1时,禁止编码,Y,S,Y,EX,Y,S,=0,表示,无输入信号,Y,EX,=0,表示,有输入信号,引脚功能说明,3.4.1 编码器,(1),单片使用,S 端应接地。,无编码时,Y,S,=0;,有编码时,Y,S,=1,。,悬空,应用,输入信号,编码输出,3.4.1 编码器,用74LS148构成的键盘编码电路,应用,3.4.1 编码器,2级联使用 用两片74LS148构成16线4线优先编码器。,高优先级,应用,低优先级,有效,1,1,1,1,0,0,0,0,1,1,1,1,1,3.4.1 编码器,编码器的VHDL语言描述,应用,library IEEE;,use IEEE.std_logic_1164.all;,use IEEE.std_logic_unsigned.all;,entity ENCODE is,portI0,I1,I2,I3,I4,I5,I6,I7:in std_logic;,Y:out std_logic-vector(2 downto 0;,end ENCODE;,3.4.1 编码器,应用,architecture one of ENCODE is,begin,processI0,I1,I2,I3,I4,I5,I6,I7,begin,if I7=0 then Y=“000;,elsif I6=0 then Y=“001;,elsif I5=0 then Y=“010;,elsif I4=0 then Y=“011;,elsif I3=0 then Y=“100;,elsif I2=0 then Y=“101;,elsif I1=0 then Y=“110;,else Y=“111;,end if;,end process;,end;,3.4.1 编码器,3.4.2 译 码 器,某种编码,某种控制信息、符号等,译 码,译码器,把某种编码转化为对应的信息,这种组合逻辑电路称为译码器。,译码器常分为,二进制译码器,、,BCD,译码器,、,显示译码器,二进制译码器,译码输入:,n,位,二进制,代码,译码输出:,m,位输出信号,m,=2,n,译码规那么:对应输入的一组二进制代码有且仅有一个输出端为有效电平,其余输出端为相反电平,3.4.2 译 码 器,2.,逻辑函数表达式,二进制译码器74LS138,1,1,1,1,1,1,1,0,1,1,1,1,1,1,1,1,1,0,1,0,1,1,1,1,1,1,1,0,1,1,1,0,1,1,1,1,1,0,1,1,1,0,0,1,1,1,1,0,1,1,1,1,1,1,0,1,1,0,1,1,1,1,1,0,1,0,1,0,1,1,1,1,1,1,1,0,0,0,1,1,1,1,1,1,1,0,0,0,A,0,A,1,A,2,思考:输入电路中为什么用了,6,只反相器?使能控制端的作用?,二进制译码器74LS138,二进制译码器74LS138,逻辑符号,国标符号,简化逻辑符号,由74LS138译码器构成的,地址译码器,二进制译码器74LS138,应用,由74LS138译码器构成的,数据分配器,0,0,0,1,0,0,0,1,0,1,1,0,0,0,1,1,0,1,0,1,1,1,1,1,思考:为什么数据从,E,2,输入?,二进制译码器74LS138,应用,由总线来的数字信号输送到不同的下级电路中去。,逻辑函数最小项发生器,如果将一逻辑函数的输入变量加到译码器的译码输入端,那么译码输出的每一个输出端都对应一个逻辑函数的最小项。,输入变量,m,0,二进制译码器74LS138,应用,m,1,m,2,m,3,m,4,m,5,m,6,m,7,例 用译码器实现组合逻辑电路F1A,B,C=m(0,2,4,6),二进制译码器74LS138,应用,1. 七段LED数码管的构造及显示原理,LED数码管有两种构造:共阴和共阳,共阴数码管的外形和内部构造为:,显示译码器,2. 显示原理,七段LED数码管中的ag实际上为发光二极管,利用点亮其中某几段来构成09字形。如,当af=1 ,g=0时,显示字形0,当b=c=1,a=d=e=f=g=0时,显示字形1,当a=b=d=e=g=1,c=f=0时,显示字形2,显示译码器,0,a,b,d,c,e,f,1,c,b,2,b,a,g,e,d,3. 显示译码器的逻辑功能,显示译码器,显示译码器,a,b,c,d,f,e,g,A,C,B,D,8421BCD码,七段显示码,4. 真值表,A B C D,a b c d e f g,字 型,0 0 0 0,0 0 0 1,0 0 1 0,0 0 1 1,0 1 0 0,0 1 0 1,0 1 1 0,0 1 1 1,1 0 0 0,1 0 0 1,1 0 1 0,1 0 1 1,1 1 0 0,1 1 0 1,1 1 1 0,1 1 1 1,1 1 1 1 1 1 0,0 1 1 0 0 0 0,1 1 0 1 1 0 1,1 1 1 1 0 0 1,0 1 1 0 0 1 1,1 0 1 1 0 1 1,0 0 1 1 1 1 1,1 1 1 0 0 0 0,1 1 1 1 1 1 1,1 1 1 0 1 1 1,0 0 0 0 0 0 0,0 0 0 0 0 0 0,0 0 0 0 0 0 0,0 0 0 0 0 0 0,0 0 0 0 0 0 0,0 0 0 0 0 0 0,0,1,2,3,4,5,6,7,8,9,消 隐,消 隐,消 隐,消 隐,消 隐,消 隐,思考题,:根据真值表,推导出a、b、c、d、e、f、g的逻辑表达式。,显示译码器,A,3,A,0,:BCD码输入信号,Y,a,Y,g,:译码输出,高电平有效,显示译码器-CD4511,BI 熄灭信号输入,低电平时, YaYg输出均为低电平全灭;,LE为锁存信号,LE=0,输出随输入变化,LE=1,处于锁存状态。,LT:试灯信号输入。,BCD七段译码器/驱动器CD4511,低电平时,Y,a,Y,g,输出均为高电平(全亮)。,显示译码器-CD4511,CD4511与数码管的连接原理图,数据选择器Multiplexer,MUX,数据选择器功能是将多路信号有选择地送到一条输出总线上去。,数据输出,地址码,多路数据输入,3.4.3 数据选择器,终究选择哪一路数据输出由A1、A0两位地址码决定。,1. 真值表(把A1A0和Dii=03当作输入,Y为输出):,A,1,A,0,D,i,Y,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1,0,1,0,1,0,1,0,1,2. 逻辑函数表达式:,4选1数据选择器,F,=,3.4.3 数据选择器,双4选1数据选择器74LS153,3.4.3 数据选择器,8选1数据选择器74LS151,F,=,3.4.3 数据选择器,数据选择器的应用,用使能端,可将两片8选1数据选择器扩展16选1数据选择器。,3.4.3 数据选择器,实现序列信号发生器,3.4.3 数据选择器,构成多路信号分时传输系统,3.4.3 数据选择器,例:用8选1MUX实现逻辑函数,解:8选1 MUX的输出Y的表达式为:,令A2=A,A1=B,A0=C,D0=D1=D3=D6=D7=1,D2=D4=D5=0时,那么 L=Y。,连线图如右:,实现逻辑函数,3.4.3 数据选择器,例:用4选1数据选择器实现函数,解:,4选1数据选择器的函数表达式为:,D,0,D,1,D,2,D,3,“0,B,A,C,“1,D,0,D,1,D,2,D,3,EN,A,1,A,0,Y,F,1,3.4.3 数据选择器,用数据选择器来实现逻辑函数时,应注意以下几点:,1当逻辑函数的,变量个数,与数据选择器,选择输入端个数,相等时,可直接用数据选择器来实现所要实现的逻辑函数。,2当逻辑函数的变量个数多于数据选择器选择输入端数目时,应别离出多余变量,将余下的变量分别有序地加到数据选择器的数据输入端。,3一个数据选择器只能用来实现一个多输入变量的单输出逻辑函数。,3.4.3 数据选择器,试用4选1数据选择器74LS153(12)和最少量的与非门实现逻辑函数:,课堂练习,3.4.3 数据选择器,数值比较器就是对两个无符号二进制数A、B进展比较,以判别其大小的组合逻辑电路。,输入:被比较的数字;输出:两个数字比较的比较结果,即等于、大于、小于。,3.4.4 数值比较器,1.一位数值比较器,1根据题意列出真值表,A,B,Y,(A,B),Y,(A,B),Y,(A=B),0 0,0 1,1 0,1 1,0,0,1,0,0,1,0,0,1,0,0,1,2根据真值表写出各输出的逻辑函数表达式,=,A,B,3.4.4 数值比较器,3逻辑电路图,3.4.4 数值比较器,2.四位数值比较器,四位数字比较的原理:,设四位数字为,A,:,A,3,A,2,A,1,A,0,,,B,:,B,3,B,2,B,1,B,0,,,先比最高位A3B3,那么AB;,最高位一样A3=B3,比次高位A2B2,那么结果AB;,各位都一样时,A=B,3.4.4 数值比较器,4位数值比较器74LS85功能表,A,3,B,3,A,2,B,2,A,1,B,1,A,0,B,0,级联输入,I,(A,B),I,(A,B,),I,(A=B),Y,(A,B),Y,(A,B,),Y,(A=B),A,3,B,3, ,1 0 0,A,3,B,3, ,0 1 0,A,3,=,B,3,A,2,B,2, ,1 0 0,A,3,=,B,3,A,2,B,2, ,0 1 0,A,3,=,B,3,A,2,=,B,2,A,1,B,1, ,1 0 0,A,3,=,B,3,A,2,=,B,2,A,1,B,1, ,0 1 0,A,3,=,B,3,A,2,=,B,2,A,1,=,B,1,A,0,B,0, ,1 0 0,A,3,=,B,3,A,2,=,B,2,A,1,=,B,1,A,0,B,0, ,0 1 0,A,3,=,B,3,A,2,=,B,2,A,1,=,B,1,A,0,=,B,0,1 0 0,1 0 0,A,3,=,B,3,A,2,=,B,2,A,1,=,B,1,A,0,=,B,0,0 1 0,0 1 0,A,3,=,B,3,A,2,=,B,2,A,1,=,B,1,A,0,=,B,0,0 0,1,0 0 1,3.4.4 数值比较器,74LS85符号及逻辑功能,3.4.4 数值比较器,3位数值比较,3.4.4 数值比较器,例:用两片4位二进制数值比较器74HC85实现8位二进制数比较 。,解:,8位数值比较,3.4.4 数值比较器,3.4.5 加 法 器,1半加器真值表,2输出函数,3逻辑图,4逻辑符号,输 入 输 出,被加数,A,加数,B,和,S,进位,CO,0 0,0 0,0 1 1 0,1 0 1 0,1 1 0 1,1.,一位,半加器,实现两个一位二进制数相加,不考虑低位的进位.,思考:如何用,与非,门实现半加器?,3.4.5 加 法 器,2.,一位全加器,1作逻辑规定,A,、,B,为加数和被加数,,CI,为低位进位,,S,、,CO,为和及向高位进位。,2真值表,A,B,CI,S,CO,0 0 0,0 0 1,0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1,0 0,1 0,1 0,0 1,1 0,0 1,0 1,1 1,3.4.5 加 法 器,2逻辑表达式,CO,=,AB,+,B CI,+,ACI,3全加器逻辑电路图见,教材P130,其逻辑符号为:,3.4.5 加 法 器,3. N位加法器, 功能:实现N位二进制数相加, 按实现方法分类:串行进位加法器、超前进位加法器,1串行进位加法器,低位全加器进位输出,高位全加器进位输入,注意:CI,0,=0,3.4.5 加 法 器,2超前进位加法器,进位位直接由加数、被加数和最低位进位位CI,0,形成。,3.4.5 加 法 器,4.,超前进位加法器-74LS283,3.4.5 加 法 器,74LS283,逻辑符号,加数,被加数,和,低位进位,进位,3.4.5 加 法 器,5.,加法器的应用,例:试用四位加法器实现8421BCD码至余3BCD码的转换。,N位加法运算、代码转换、减法器、十进制加法,解:余3码比8421码多3,因此:,3.4.5 加 法 器,重点难点,重点:, 组合逻辑电路的概念, 组合逻辑电路的分析与设计方法, 常用组合模块的功能及应用,难点:, 灵活运用模块进展电路设计, 组合电路的竞争与冒险的判断与消除,编码器、译码器、数据选择器、比较器和加法器码等。,任何时刻的输出仅决定于当时的输入,而与电路原来的状态无关;它由根本门构成,不含存贮电路和记忆元件,且无反响线。,根据已经给定的逻辑电路,描述其逻辑功能。,根据设计要求构成功能正确、经济、可靠的电路,组合电路,组合电路的分析,组合电路的设计,常用的中规模组合逻辑电路,本章小结,作 业,习题2、7、11、15,22,25,人有了知识,就会具备各种分析能力,,明辨是非的能力。,所以我们要勤恳读书,广泛阅读,,古人说“书中自有黄金屋。,”通过阅读科技书籍,我们能丰富知识,,培养逻辑思维能力;,通过阅读文学作品,我们能提高文学鉴赏水平,,培养文学情趣;,通过阅读报刊,我们能增长见识,扩大自己的知识面。,有许多书籍还能培养我们的道德情操,,给我们巨大的精神力量,,鼓舞我们前进,。,
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 压缩资料 > 药学课件


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!