数字电路复习指导课件

上传人:文**** 文档编号:241476128 上传时间:2024-06-28 格式:PPT 页数:90 大小:1.12MB
返回 下载 相关 举报
数字电路复习指导课件_第1页
第1页 / 共90页
数字电路复习指导课件_第2页
第2页 / 共90页
数字电路复习指导课件_第3页
第3页 / 共90页
点击查看更多>>
资源描述
第一章 小 结一、数制和码制一、数制和码制1.数制:计数方法或计数体制(由基数和位权组成)种种 类类基基 数数位位 权权应应 用用备备 注注十进制十进制0 910i日常日常二进制二进制0,12i数字电路数字电路2=21八进制八进制0 78i计算机程序计算机程序8=23十六进制十六进制0 9,A F16i计算机程序计算机程序16=24 各种数制之间的相互转换,特别是十进制二进制的转换,要求熟练掌握。2.码制:常用的 BCD 码有 8421 码、2421 码、5421 码、余 3 码等,其中以 8421 码使用最广泛。第一章 小 结一、数制和码制1.数制:计数方法或计数练习 完成下列数制和码制之间的相互转换128 16 4 2 1512 128 64 16 8 4 232 8 2 1 32 4 116 8 4 1练习 完成下列数制和码制之间的相互转换128 二、常用逻辑关系及运算二、常用逻辑关系及运算1.三种基本逻辑运算:与、或、非2.四种复合逻辑运算:与非、或非、与或非、异或三、逻辑代数的公式和定理三、逻辑代数的公式和定理 是推演、变换和化简逻辑函数的依据,有些与普通代数相同,有些则完全不同,要认真加以区别。这些定理中,摩根定理最为常用。真值表 函数式 逻辑符号练习 求下列函数的反函数(用摩根定理),并化简。解二、常用逻辑关系及运算1.三种基本逻辑运算:与、或、非四、逻辑函数的化简法四、逻辑函数的化简法 化简的目的是为了获得最简逻辑函数式,从而使逻辑电路简单、成本低、可靠性高。化简的方法主要有公式化简法和图形化简法两种。1.公式化简法:可化简任何复杂的逻辑函数,但要求能熟练和灵活运用逻辑代数的各种公式和定理,并要求具有一定的运算技巧和经验。2.图形化简法:简单、直观,不易出错,有一定的步骤和方法可循。但是,当函数的变量个数多于六个时,就失去了优点,没有实用价值。约束项:(无关项)可以取 0,也可以取 1,它的取值对逻辑函数值没有影响,应充分利用这一特点化简逻辑函数,以得到更为满意的化简结果。四、逻辑函数的化简法 化简的目的是为了获得最简练习 用公式法将下列函数化简为最简与或式。练习 用公式法将下列函数化简为最简与或式。练习 用图形法将下列函数化简为最简与或式。(1)画函数的卡诺图(2)合并最小项:画包围圈(3)写出最简与或表达式ABCD000111100001111011111111解11练习 用图形法将下列函数化简为最简与或式。(1)画函数(1)画函数的卡诺图(2)合并最小项:画包围圈(3)写出最简与或表达式ABCD00011110000111101解1111(1)画函数的卡诺图(2)合并最小项:(3)写出最简与五、逻辑函数常用的表示方法:五、逻辑函数常用的表示方法:真值表、卡诺图、函数式、逻辑图和波形图。它们各有特点,但本质相同,可以相互转换。尤其是由真值表 逻辑图 和 逻辑图 真值表,在逻辑电路的分析和设计中经常用到,必须熟练掌握。五、逻辑函数常用的表示方法:真值表、卡诺图、函数式、逻辑图第二章第二章 小结小结一、半导体二极管、三极管和一、半导体二极管、三极管和一、半导体二极管、三极管和一、半导体二极管、三极管和 MOS MOS 管管管管 是数字电路中的基本开关元件,一般都工作在开关是数字电路中的基本开关元件,一般都工作在开关状态。状态。1.半导体二极管半导体二极管半导体二极管半导体二极管:是不可控的,利用其开关特性可构成二极管是不可控的,利用其开关特性可构成二极管与门与门和和或门或门。2.半导体三极管半导体三极管半导体三极管半导体三极管:是一种用电流控制且具有放大特性的开是一种用电流控制且具有放大特性的开关元件,关元件,利用三极管的饱和导通与截止利用三极管的饱和导通与截止特性可构成特性可构成 非门非门 和其它和其它 TTL 集成门电集成门电路路。3.MOSMOS管管管管:是一种具有放大特性的由电压控制的开关元件,利用是一种具有放大特性的由电压控制的开关元件,利用 N 沟道沟道 MOS 管和管和 P 沟道沟道 MOS 管可构成管可构成CMOS 反相器反相器和其它和其它 CMOS 集成集成门电路门电路。第二章 小结一、半导体二极管、三极管和 MOS 管 二、分立元件门电路二、分立元件门电路二、分立元件门电路二、分立元件门电路 主要介绍了由主要介绍了由半导体二极管、三极管和半导体二极管、三极管和半导体二极管、三极管和半导体二极管、三极管和 MOS MOS 管管管管构成的与门、或门和非门。构成的与门、或门和非门。虽然,虽然,分立元件门电路分立元件门电路不是本章的重点,但是通不是本章的重点,但是通过对这些电路的分析,可以体会到过对这些电路的分析,可以体会到与与、或或、非非三种三种最基本的逻辑运算,是如何用半导体电子电路实现最基本的逻辑运算,是如何用半导体电子电路实现的,这将有助于后面的,这将有助于后面集成门电路集成门电路的学习。的学习。二、分立元件门电路 主要介绍了由半导体二极管、三、集成门电路三、集成门电路三、集成门电路三、集成门电路 本章重点本章重点本章重点本章重点 主要介绍了主要介绍了 CMOS 和和 TTL 集成门电路,重点应放在集成门电路,重点应放在它们的输出与输入之间的逻辑特性和外部电气特性上。它们的输出与输入之间的逻辑特性和外部电气特性上。1.逻辑特性(逻辑功能)逻辑特性(逻辑功能)逻辑特性(逻辑功能)逻辑特性(逻辑功能):普通功能普通功能 与门、或门、非门、与非门、或非门、与或非与门、或门、非门、与非门、或非门、与或非 门和异或门。门和异或门。特殊功能特殊功能 三态门、三态门、OC门、门、OD门和传输门。门和传输门。2.电气特性电气特性电气特性电气特性:静态特性静态特性 主要是输入特性、输出特性和传输特性。主要是输入特性、输出特性和传输特性。动态特性动态特性 主要是传输延迟时间的概念。主要是传输延迟时间的概念。三、集成门电路 本章重点 主要介绍了 CM四、集成门电路使用中应注意的几个四、集成门电路使用中应注意的几个四、集成门电路使用中应注意的几个四、集成门电路使用中应注意的几个问题问题 TTLCMOS分类分类工作电源工作电源VCC=5 VVDD=3 18 V输出电平输出电平UOL=0.3 V UOH=3.6 V UOL 0 V UOH VDD UTH=0.5 VDD UTH=1.4 V 阈值电压阈值电压输入端串输入端串接电阻接电阻Ri当当 Ri Ron(2.5 k )输入由输入由 0 1在一定范围内,在一定范围内,Ri的改的改变不会影响输入电平变不会影响输入电平输入端输入端 悬空悬空即即 Ri=输入为输入为 “1”不允许不允许多余输入多余输入端的处理端的处理1.与门、与非门接电源;或门、或非门接地。与门、与非门接电源;或门、或非门接地。2.与其它输入端并联。与其它输入端并联。四、集成门电路使用中应注意的几个问题 TTLCMOS分类工作练习练习 写出图中所示各个门电路输出端的逻辑表达式。写出图中所示各个门电路输出端的逻辑表达式。TTLCMOS&A100 100k=1&A100 100k=1=11A100 100k 1A100 100k=0练习 写出图中所示各个门电路输出端的逻辑表达式。TTLC练习练习 写出图中所示各个门电路输出端的逻辑表达式。写出图中所示各个门电路输出端的逻辑表达式。TTLCMOS=1A100 100k=1A100 100k&A悬空悬空&A悬空悬空 不允许不允许练习 写出图中所示各个门电路输出端的逻辑表达式。TTLC第三章第三章 小结小结一、组合逻辑电路的特点一、组合逻辑电路的特点一、组合逻辑电路的特点一、组合逻辑电路的特点 组合逻辑电路是由各种门电路组成的组合逻辑电路是由各种门电路组成的没有记忆功没有记忆功能能的电路。它的特点是任一时刻的输出信号只取决于的电路。它的特点是任一时刻的输出信号只取决于该时刻的输入信号,而与电路原来所处的状态无关。该时刻的输入信号,而与电路原来所处的状态无关。逻辑图逻辑图逻辑表达式逻辑表达式化简化简真值表真值表说明功能说明功能二、组合逻辑电路的分析方法二、组合逻辑电路的分析方法二、组合逻辑电路的分析方法二、组合逻辑电路的分析方法 三、组合逻辑电路的设计方法三、组合逻辑电路的设计方法三、组合逻辑电路的设计方法三、组合逻辑电路的设计方法 逻辑抽象逻辑抽象列真值表列真值表写表达式写表达式化简或变换化简或变换画逻辑图画逻辑图第三章 小结一、组合逻辑电路的特点 组合 练习练习 写出图中所示电路的逻辑表达式,说明其功能写出图中所示电路的逻辑表达式,说明其功能ABY1111 解解 1.逐级写出输出逻辑表达式逐级写出输出逻辑表达式2.化简化简3.列真值表列真值表0 00 11 01 110014.功能功能 输入信号相同时输入信号相同时输出为输出为1,否则为,否则为0 同或同或。练习 写出图中所示电路的逻辑表达式,说明其功能ABY1四、常用中规模集成组合逻辑电路四、常用中规模集成组合逻辑电路四、常用中规模集成组合逻辑电路四、常用中规模集成组合逻辑电路 1.加法器:加法器:实现两组多位二进制数相加的电路。实现两组多位二进制数相加的电路。根据进位方式不同,可分为串行进位加法根据进位方式不同,可分为串行进位加法器和超前进位加法器。器和超前进位加法器。2.数值比较器:数值比较器:比较两组多位二进制数大小的电路。比较两组多位二进制数大小的电路。集成芯片:集成芯片:74LS183(TTL)、)、C661(CMOS)双全加器双全加器两片双全加器(如两片双全加器(如74LS183)四位串行进位加法器四位串行进位加法器74283、74LS283(TTL)CC4008(CMOS)四位二进制超前进位加法器四位二进制超前进位加法器集成芯片:集成芯片:7485、74L 85(TTL)CC14585、C663(CMOS)四位数值比较器四位数值比较器四、常用中规模集成组合逻辑电路 1.加法器:实现两组多位二3.编码器:编码器:将输入的电平信号编成二进制代码的电路。将输入的电平信号编成二进制代码的电路。主要包括二进制编码器、二主要包括二进制编码器、二 十进制编码十进制编码器和优先编码器等。器和优先编码器等。4.译码器:译码器:将输入的二进制代码译成相应的电平信号。将输入的二进制代码译成相应的电平信号。主要包括二进制译码器、二主要包括二进制译码器、二 十进制译码十进制译码器和显示译码器等。器和显示译码器等。集成芯片:集成芯片:74148、74LS148、74LS348(TTL)8 线线 3 线优先编码器线优先编码器74147、74LS147(TTL)10 线线 4 线优先编码器线优先编码器集成芯片:集成芯片:74LS138(TTL)3线线 8线译码器(二进制译码器)线译码器(二进制译码器)7442、74LS42(TTL)4线线 10线译码器线译码器74247、74LS247(TTL)共阳极显示译码器共阳极显示译码器7448、74248、7449、74249等(等(TTL)共阴极显示译码器共阴极显示译码器3.编码器:将输入的电平信号编成二进制代码的电路。4.译5.数据选择器:数据选择器:在地址码的控制下,在同一时间内从在地址码的控制下,在同一时间内从多路输入信号中选择相应的一路信号多路输入信号中选择相应的一路信号输出的电路。常用于数据传输中的并输出的电路。常用于数据传输中的并-串转换。串转换。集成芯片:集成芯片:74151、74LS15174251、74LS251(TTL)8 选选 1 数据选择器数据选择器6.数据分配器:数据分配器:在地址码的控制下,将一路输入信号在地址码的控制下,将一路输入信号传送到多个输出端的任何一个输出端传送到多个输出端的任何一个输出端的电路。常用于数据传输中的串的电路。常用于数据传输中的串-并转并转换。换。集成芯片:集成芯片:无专用芯片,可用二进制集成译码器实现。无专用芯片,可用二进制集成译码器实现。5.数据选择器:在地址码的控制下,在同一时间内从集成芯片:练习练习 用二用二-十进制编码器、译码器、发光二极管十进制编码器、译码器、发光二极管七段显示器,组成一个七段显示器,组成一个 1 数码显示电路。当数码显示电路。当 0 9 十个十个输入端中某一个接地时,显示相应数码。选择合适的输入端中某一个接地时,显示相应数码。选择合适的器件,画出连线图。器件,画出连线图。YaA3A2A1A0+VCC74LS48显示显示译码器译码器YbYcYdYeYfYg共阴共阴 解解 1111+VCCY3Y2Y1Y074LS14710线线-4线线编码器编码器I0I1I9+VCCS0S1S9 练习 用二-十进制编码器、译码器、发五、用中规模集成电路实现组合逻辑函数五、用中规模集成电路实现组合逻辑函数五、用中规模集成电路实现组合逻辑函数五、用中规模集成电路实现组合逻辑函数1.数据选择器:数据选择器:为多输入单输出的组合逻辑电路,为多输入单输出的组合逻辑电路,在输入数据都为在输入数据都为 1 时,它的输出表时,它的输出表达式为地址变量的全部最小项之和,达式为地址变量的全部最小项之和,适用于实现单输出组合逻辑函数。适用于实现单输出组合逻辑函数。2.二进制译码器:二进制译码器:输出端提供了输入变量的全部最输出端提供了输入变量的全部最小项,而且每一个输出端对应一小项,而且每一个输出端对应一个最小项,因此,二进制译码器个最小项,因此,二进制译码器辅以门电路(与非门)后,适合辅以门电路(与非门)后,适合用于实现单输出或多输出的组合用于实现单输出或多输出的组合逻辑函数。逻辑函数。五、用中规模集成电路实现组合逻辑函数1.数据选择器:为多输六、只读存储器(六、只读存储器(六、只读存储器(六、只读存储器(ROMROM)1.功能:功能:用于存放固定不变的数据,存储内容不能随用于存放固定不变的数据,存储内容不能随 意改写。工作时,只能根据地址码读出数据。意改写。工作时,只能根据地址码读出数据。2.特点:特点:工作可靠,断电后,数据不会丢失。工作可靠,断电后,数据不会丢失。3.分类:分类:固定固定 ROM(掩模(掩模 ROM)和可编程)和可编程 ROM(PROM)包括包括 EPROM(电写入紫外线擦除)和(电写入紫外线擦除)和 E2PROM(电写入电擦除)。(电写入电擦除)。PROM都要用专用的编程器对芯片进行编程。都要用专用的编程器对芯片进行编程。七、竞争和冒险七、竞争和冒险七、竞争和冒险七、竞争和冒险 当门电路的两个输入信号同时向相反方向变化时,输出端可能出现干扰脉冲。消除当门电路的两个输入信号同时向相反方向变化时,输出端可能出现干扰脉冲。消除方法:加封锁脉冲、加选通脉冲、接滤波电容、方法:加封锁脉冲、加选通脉冲、接滤波电容、修改逻辑设计修改逻辑设计等。等。六、只读存储器(ROM)1.功能:用于存放固定不变的数据,第四章第四章 小小 结结 一、触发器一、触发器和门电路一样,也是组成数字电路的基和门电路一样,也是组成数字电路的基和门电路一样,也是组成数字电路的基和门电路一样,也是组成数字电路的基本逻辑单元。它有本逻辑单元。它有本逻辑单元。它有本逻辑单元。它有两个基本特性:两个基本特性:两个基本特性:两个基本特性:1.有两个稳定的状态有两个稳定的状态(0 状态和状态和 1 状态)。状态)。2.在外信号作用下,两个稳定状态可相互转换;没在外信号作用下,两个稳定状态可相互转换;没有外信号作用时,保持原状态不变。有外信号作用时,保持原状态不变。因此,触发器具有记忆功能,常用来保存二进制信息。因此,触发器具有记忆功能,常用来保存二进制信息。二、触发器的逻辑功能二、触发器的逻辑功能 指触发器输出的次态指触发器输出的次态 Qn+1 与输出的现态与输出的现态 Qn 及输入及输入信号之间的逻辑关系。触发器逻辑功能的描述方法主要信号之间的逻辑关系。触发器逻辑功能的描述方法主要有有特性表、卡诺图、特性方程、状态转换图和波形图特性表、卡诺图、特性方程、状态转换图和波形图(时序图)。(时序图)。第四章 小 结 一、触发器和门电路一样,也是二、触发器的分类二、触发器的分类1.根据电路结构不同,触发器可分为根据电路结构不同,触发器可分为(1)基本触发器:输入信号电平直接控制。)基本触发器:输入信号电平直接控制。特性方程特性方程(2)同步触发器:时钟电平直接控制。)同步触发器:时钟电平直接控制。特性方程特性方程同步同步 RS 触发器触发器CP=1(或(或 0)时有效)时有效同步同步 D 触发器触发器(约束条件)(约束条件)二、触发器的分类1.根据电路结构不同,触发器可分为(1)基二、触发器的分类二、触发器的分类二、触发器的分类二、触发器的分类1.根据根据电路结构电路结构不同,触发器可分为不同,触发器可分为(3)主从触发器:主从控制脉冲触发。)主从触发器:主从控制脉冲触发。CP 下降沿(或上升沿)到来时有效下降沿(或上升沿)到来时有效特性方程特性方程主从主从 RS 触发器触发器主从主从 JK 触发器触发器(4)边沿触发器:时钟边沿控制。)边沿触发器:时钟边沿控制。CP上升沿(或下降沿)时刻有效上升沿(或下降沿)时刻有效特性方程特性方程边沿边沿 D 触发器触发器边沿边沿 JK 触发器触发器二、触发器的分类1.根据电路结构不同,触发器可分为(3)主2.根据根据逻辑功能逻辑功能不同,时钟触发器可分为不同,时钟触发器可分为二、触发器的分类二、触发器的分类二、触发器的分类二、触发器的分类(1)RS 触发器触发器(约束条件)(约束条件)(3)D 触发器触发器(4)T 触发器触发器(5)T 触发器触发器 利用特性方程可实现不同功能触发器间逻辑功能的相利用特性方程可实现不同功能触发器间逻辑功能的相互转换。互转换。(2)JK 触发器触发器2.根据逻辑功能不同,时钟触发器可分为二、触发器的分类(1 练习练习 在图中所示的在图中所示的 CC4013 边沿边沿 D 触发器中,触发器中,CP、D、SD、RD的波形见图,试画出的波形见图,试画出 Q、Q 的波形。的波形。解解QQCPC11D D D S S SD D R R RD D SD、RD 异步置位(置异步置位(置1)、复位(置)、复位(置0)端。)端。CP 上升沿触发。上升沿触发。CPDSDRDQQ 练习 在图中所示的 CC4013 边沿 D第五章第五章 小小 结结一、时序逻辑电路的特点一、时序逻辑电路的特点数字数字电路电路逻辑逻辑功能功能组合逻辑电路组合逻辑电路时序逻辑电路时序逻辑电路(基本构成单元(基本构成单元 门电路)门电路)(基本构成单元(基本构成单元 触发器)触发器)任何时刻电路的输出,不仅和该时刻的输入任何时刻电路的输出,不仅和该时刻的输入信号有关,而且还取决于电路原来的状态。信号有关,而且还取决于电路原来的状态。1.逻辑功能:逻辑功能:2.电路组成:电路组成:与时间因素与时间因素(CP)有关;有关;含有记忆性的元件含有记忆性的元件(触发器触发器)。二、时序电路逻辑功能的表示方法二、时序电路逻辑功能的表示方法逻辑图、逻辑表达式、状态表、卡诺图、逻辑图、逻辑表达式、状态表、卡诺图、状态转换图(简称状态图)和时序图状态转换图(简称状态图)和时序图第五章 小 结一、时序逻辑电路的特点数字逻辑组合逻辑电路三、时序电路的基本分析方法三、时序电路的基本分析方法实质:实质:逻辑图逻辑图状态图状态图关键:关键:求出状态方程,列出状态表,根据状态表画求出状态方程,列出状态表,根据状态表画出状态图和时序图,由此可分析出时序逻辑出状态图和时序图,由此可分析出时序逻辑电路的功能。电路的功能。四、时序电路的基本分设计方法四、时序电路的基本分设计方法实质:实质:状态图状态图逻辑图逻辑图关键:关键:根据设计要求求出最简状态表(图),再通根据设计要求求出最简状态表(图),再通过卡诺图求出状态方程和驱动方程,由此画过卡诺图求出状态方程和驱动方程,由此画出逻辑图。出逻辑图。三、时序电路的基本分析方法实质:逻辑图状态图关键:求出状态方五、计数器五、计数器1.按计数进制分:按计数进制分:二进制计数器、十进制计数器和二进制计数器、十进制计数器和任意进制计数器任意进制计数器2.按计数增减分:按计数增减分:加法计数器、减法计数器和可逆(加加法计数器、减法计数器和可逆(加/减)计数器减)计数器3.按触发器翻转是否同步分:按触发器翻转是否同步分:同步计数器和异步计数器同步计数器和异步计数器 记录输入脉冲记录输入脉冲 CP 个数的电路,是极具典型性和代个数的电路,是极具典型性和代表性的表性的时序逻辑电路时序逻辑电路。五、计数器1.按计数进制分:二进制计数器、十进制计数器和任六、中规模集成计数器六、中规模集成计数器 功能完善、使用方便灵活,能很方便地构成功能完善、使用方便灵活,能很方便地构成 N 进制进制(任意)(任意)计数器计数器。主要方法有两种:。主要方法有两种:1.用用同步同步置置 0 端或置数端归零获得端或置数端归零获得 N 进制计数器进制计数器根据根据 N-1 对应的二进制代码写反馈归零函数。对应的二进制代码写反馈归零函数。2.用用异步异步置置 0 端或置数端归零获得端或置数端归零获得 N 进制计数器进制计数器根据根据 N 对应的二进制代码写反馈归零函数。对应的二进制代码写反馈归零函数。当需要扩大计数器的容量时,可将多片集成计数器进当需要扩大计数器的容量时,可将多片集成计数器进行级联。如行级联。如两片两片16 进制集成计数器进制集成计数器16 16 进制计数器进制计数器两片两片10 进制集成计数器进制集成计数器10 10 进制计数器进制计数器六、中规模集成计数器 功能完善、使用方便灵活,能很七、其它时序逻辑电路七、其它时序逻辑电路1.寄存器和移位寄存器寄存器和移位寄存器寄存器寄存器 存储二进制数据或者代码。存储二进制数据或者代码。移位寄存器移位寄存器 不但可存放数码,还能对数据进行移不但可存放数码,还能对数据进行移 位操作。位操作。移位寄存器移位寄存器有单向移位寄存器和双向移位寄存器。有单向移位寄存器和双向移位寄存器。用移位寄存器可方便地组成用移位寄存器可方便地组成环形计数器环形计数器、扭环形计扭环形计数器数器和和顺序脉冲发生器顺序脉冲发生器。集成移位寄存器集成移位寄存器使用方便、功能全、输入输出方式使用方便、功能全、输入输出方式 灵活。灵活。七、其它时序逻辑电路1.寄存器和移位寄存器寄存器 存储2.读读/写存储器写存储器 RAM(随机存取存储器)(随机存取存储器)组成组成:主要由地址译码器、读主要由地址译码器、读/写控制电路和存储矩写控制电路和存储矩 阵三部分组成。阵三部分组成。功能功能:可以随时读出数据或改写存储的数据,并且可以随时读出数据或改写存储的数据,并且 读、写数据的速度很快。读、写数据的速度很快。种类种类:分为静态分为静态 RAM 和动态和动态 RAM。应用应用:多用于经常更换数据的场合,最典型的应用多用于经常更换数据的场合,最典型的应用 就是计算机中的内存。就是计算机中的内存。3.顺序脉冲发生器、三态逻辑与微机总线接口、可顺序脉冲发生器、三态逻辑与微机总线接口、可编程逻辑器件编程逻辑器件等也都是比较典型、应用很广的时序电路。等也都是比较典型、应用很广的时序电路。特点:特点:断电后,数据将全部丢失。断电后,数据将全部丢失。2.读/写存储器 RAM(随机存取存储器)组成:主要由地第六章 小 结一、555 定时器 是一种多用途的集成电路。只需外接少量阻容元件便可构成各种脉冲产生、整形电路,如施密特触发器、单稳态触发器和多谐振荡器等。55512348765双极型 (TTL)电源:4.5 16 V单极型 (CMOS)电源:3 18 V带负载能力强第六章 小 结一、555 定时器 是一种62784153555R1C+R2C1+VCCuO二、多谐振荡器 是一种自激振荡电路,不需要外加输入信号,就可以自动地产生出矩形脉冲。多谐振荡器没有稳定状态,只有两个暂稳态。暂稳态间的相互转换完全靠电路本身电容的充电和放电自动完成。改变 R、C 定时元件数值的大小,可调节振荡频率。在振荡频率稳定度要求很高的情况下,可采用石英晶体振荡器。62784153555R1C+R2C1+VCCuO二、多谐振三、施密特触发器 是一种脉冲整形电路,虽然不能自动产生矩形脉冲,却可将输入的周期性信号整形成所要求的同周期的矩形脉冲输出,还可用来进行幅度鉴别、构成单稳态触发器和多谐振荡器等。62784153555+VCCuO2uIUCOuO1+VDDR 施密特触发器有两个稳定状态,有两个不同的触发电平,因此具有回差特性。它的两个稳定状态是靠两个不同的电平来维持的,输出脉冲的宽度由输入信号的波形决定。此外,调节回差电压的大小,也可改变输出脉冲的宽度。外接电压调节回差 施密特触发器可由 555 定时器构成,也可用专门的集成电路实现。三、施密特触发器 是一种脉冲整形电路,虽然不能四、单稳态触发器 也属于脉冲整形电路,可将输入的触发脉冲变换为宽度和幅度都符合要求的矩形脉冲,还常用于脉冲的定时、整形、展宽(延时)等。62784153555RC+C1+VCCuO0.01FuI 单稳态触发器有一个稳定状态和一个暂稳态。其输出脉冲的宽度只取决于电路本身 R、C 定时元件的数值,与输入信号无关。输入信号只起到触发电路进入暂稳态的作用。改变 R、C 定时元件的数值可调节输出脉冲的宽度。单稳态触发器可由 555 定时器构成,也可用集成的单稳态触发器实现。四、单稳态触发器 也属于脉冲整形电路,可将输入第七章 小 结一、D/A 转换器1.功能:将输入的二进制数转换成与之成正比的模拟电量。2.种类:权电阻网络、R-2R T 形电阻网络和 R-2R 倒 T 形电阻网络 D/A 转换器。实现数模转换有多种方式,常用的是电阻网络 D/A 转换器,包括 其中以 R-2R 倒 T 形电阻网络 D/A 转换器为重点作了详细介绍,它的特点是速度快、性能好,适合于集成工艺制造,因而被广泛采用。3.分辨率和转换精度:与 D/A 转换器的位数有关,位数越多,分辨率和精度越高。第七章 小 结一、D/A 转换器1.功能:将输入的二进制二、A/D 转换器1.功 能:将输入的模拟电压转换成与之成正比的二进制数。2.转换过程:采样、保持、量化、编码。采样 保持电路A/D转换器采样-保持电路:对输入模拟信号抽取样值,并展宽(保持)。采样时必须满足采样定理,即 fs 2 fImax。量化 对样值脉冲进行分级。编码 将分级后的信号转换成二进制代码。A/D 转换器:二、A/D 转换器1.功 能:将输入的模拟电二、A/D 转换器3.种类:直接转换型和间接转换型。直接转换型 并联比较型(速度快、精度低)逐次渐近型(速度较快、精度较高)间接转换型 双积分型(速度慢、精度高、抗干扰 能力强)不论是 D/A 转换还是 A/D 转换,基准电压 VREF 都是一个很重要的应用参数,要理解基准电压的作用,尤其是在 A/D 转换中,它的值对量化误差、分辨率都有影响。二、A/D 转换器3.种类:直接转换型和间接转换型。直接转6.1 多谐振荡器 Astable Multivibrator6.1.1 555 定时器构成的多谐振荡器+VCCuO8 83 31 16 65 57 72 24 4&1TDR1R2CuC uCtUOH uOtUOL一、电路组成和工作原理 6278 4153555R1C1+R2C2+VCC6.1 多谐振荡器 Astable Multivibrat二、振荡频率的估算和占空比可调电路62784153555R1C+R2C2+VCC1.C 充电时间 tw1uC(0+)=VCC/3,uC()=VCC充电时间常数1=(R1+R2)C2.C 放电时间 tw2可求得:放电时间常数2=R2C(一)振荡频率的估算二、振荡频率的估算和占空比可调电路62784153555R13.振荡频率 f uCtUOH uOtUOLtw1tw2Ttw1=0.7(R1+R2)Ctw2=0.7R2CT=0.7(R1+2R2)C振荡周期:振荡频率:占空比:3.振荡频率 f uCtUOH uOtUOLtw1tw2(二)占空比可调电路62784153555R1C+R2C2+VCCD1D2uOtw1=0.7R1Ctw2=0.7R2C(二)占空比可调电路62784153555R1C+R2C26.1.2 石英晶体多谐振荡器特点:频率稳定,精度高。1.符号和选频特性符号当 f =f0 时,电抗 X=0fXf0电感性电容性2.石英晶体多谐振荡器11C1R1R2C2uo工作原理:1.反相器静态工作在转折区(放大);2.石英晶体 X=0,回路构成正反馈;3.C1、C2 为耦合电容,可不要。电阻取值范围:TTL反相器:R1=R2=0.7 2 kCMOS反相器:R1=R2=10 100 M6.1.2 石英晶体多谐振荡器特点:频率稳定,精度高。1.3.CMOS 石英晶体多谐振荡器放大器电容三点式为保证 CMOS 反相器静态时工作在转折区,偏置电阻RF 取值为:RF=10 100 MC1RFC2uo11100 M20 pF5 50 pF f03.CMOS 石英晶体多谐振荡器放为保证 CMOS 反6.1.3 多谐振荡器应用举例一、秒信号发生器RC1C211C1C1C1C1FF0FF1FF14FF15Q0Q1Q14Q15T触发器32768 Hz16384 Hz1 Hz2 Hz6.1.3 多谐振荡器应用举例一、秒信号发生器RC1C21二、模拟声响电路10F26784153NE555ICICR1C1B21+26784153NE55510k100k10k150k10k8R2R3R4R5C2C3C4+5V0.01 F0.01 F100 FuO1uO2uo1uo2二、模拟声响电路10F26784153NE555ICICR6.2 施密特触发器(Schmitt Trigger)6.2.1 6.2.1 用用 555 555 定时器构成的施密特触发器定时器构成的施密特触发器一、普通反相器和施密特反相器的比较AY1普通反相器uAUTHuY?TTL:1.4 VCMOS:施密特反相器AYuAUT+UT上限阈值电压下限阈值电压uY回差电压:6.2 施密特触发器(Schmitt Trigger)6二、电路组成及工作原理+VCCuO1TD8 83 31 16 65 57 72 24 4&1uI工作原理 uItUOH uOtUOLOO0 01 11 10 01 10 0U UCOCO外加 U UCO CO 时,可改变阈值和回差电压+VDDuO2uI 上升时与 2VCC/3 比uI 下降时与 VCC/3 比二、电路组成及工作原理+VCCuO1TD83165724&三、滞回特性及主要参数(一)滞回特性U UT T OuIuOUOHUOLU UT+T+uI 增大时与上限阈值比特点:uI 减小时与下限阈值比上限阈值电压(二)主要静态参数回差电压下限阈值电压回差电压UT=UT+UT三、滞回特性及主要参数(一)滞回特性UTOuIuOUOH6.2.2 6.2.2 集成施密特触发器集成施密特触发器一、CMOS 集成施密特触发器(一)引出端功能图CC401061A1Y2A2Y3A3Y6A6Y5A5Y4A4YVDDVSS1234567141312111098CC40933A3B3Y4Y4A4BVDDVSS12345671413121110 9 81A1Y1B2A2Y2B6.2.2 集成施密特触发器一、CMOS 集成施密特触发器(二)主要静态参数CC40106、CC4093 的主要静态参数电参数电参数名称名称符号符号测试条件测试条件参参 数数单位单位VDD最小值最小值最大值最大值上限阈上限阈值电压值电压UT+510152.24.66.83.67.110.8V下限阈下限阈值电压值电压UT510150.92.54 2.85.27.4V滞回滞回电压电压 UT510150.31.21.61.63.45V二、TTL 集成施密特触发器(略)(二)主要静态参数CC40106、CC4093 的主要静态6.2.3 6.2.3 施密特触发器的应用举例一、接口与整形(一)接口MOS或CMOS1把缓变输入信号转换为TTL系统要求的脉冲正弦波振荡器1(二)整形输入输出UT+UT6.2.3 施密特触发器的应用举例一、接口与整形(一)二、阈值探测、脉冲展宽和多谐振荡器(一)阈值探测输入UTUT+输出(二)脉冲展宽CAuOuIuA集电极开路输出uIuAuOUT+UT(三)多谐振荡器CuO1RUOHUT+UOLUT+UOH UT二、阈值探测、脉冲展宽和多谐振荡器(一)阈值探测输入UT6.3 单稳态触发器特点:1.只有两种状态:稳态和暂稳态;2.外来触发(窄)脉冲使:稳态暂稳态稳态;3.暂稳态持续时间仅取决于电路参数,与触发脉冲无关。用途;定时:产生一定宽度的方波。延时:将输入信号延迟一定时间后输出。整形:把不规则波形变为宽度、幅度都相等的脉冲。6.3 单稳态触发器特点:1.只有两种状态:稳态和暂6.3.1 6.3.1 用用 555 555 定时器构成的定时器构成的单稳态触发器一、电路组成及工作原理+VCCuO8 83 31 16 65 57 72 24 4&1TDQRCuIuCuI 与 VCC/3 比较uC 与 2VCC/3 比较稳态:稳态:TD 饱和,Q=0110101饱和暂稳态暂稳态:TD 截止,Q=1110截止引起暂稳态的原因:1.通电的随机过程;2.uI 从 1 0使 u2 1&TRATRBTR+RintCextRICXRX/CXRext/Cext 表示不属于逻辑状态连接下降沿触发输入上升沿触发输入非重复触发外接定时电阻、电容VCC内接接定时电阻引出端6.3.2 集成单稳态触发器一、非重复触发单稳态触发器 72.功能表输输 入入输输 出出注注TRA TRB TR+Q Q L H L H L H H L H L H L H L H保持保持稳态稳态 H H H H H下降沿下降沿触发触发 L L 上升沿上升沿触发触发3.主要参数输出脉宽 tw:输入触发脉冲最小周期 Tmin:周期性输入触发脉冲占空比 q:2.功能表输 入输 出注TRA T二、可重复触发单稳态触发器 74122可重复触发 在暂稳态期间,能够接受新的触发信号。1.图形符号1TRATRBTR+ARintCextRICXRX/CXRext/Cext&RTR+BRD直接复位可重复触发二、可重复触发单稳态触发器 74122可重复触发 在暂稳态2.功能表输输 入入输输 出出注注RD TRA TRB TR+A TR+BQ Q L H H L L L H L H L H L H复位复位保持保持稳态稳态 H L H H L H H L H L H L H H L H H上升沿上升沿触发触发 H H H H H H H H H H H下降沿下降沿触发触发当定时电容C 1000 pF时:2.功能表输 入输 出注RD TRA 6.3.3 6.3.3 单稳态触发器应用举例单稳态触发器应用举例一、延时与定时1.延时1uIuO&uOuFuIuOtW2.定时选通uFuO二、整形uIuO6.3.3 单稳态触发器应用举例一、延时与定时1.延时1概述一、数/模和模/数器是模拟、数字系统间的桥梁模 /数(A/D)转换:Analog to Digital Converter(ADC)数/模(D D /A A)转换:Digital to Analog Converter(DAC)数字计算机模拟系统A/DD/A二进制二进制线性线性存储分析控制物理 生物 化学概述一、数/模和模/数器是模拟、数字系统间的桥梁模 /数二、常见数模、模数转换器应用系统举例压力传感器温度传感器流量传感器四路模拟开关数字控制计算机DAC模拟控制器模拟控制器液位传感器DACDAC模拟控制器模拟控制器生 产 控 制 对 象 DACADC物理量物理量二进制二进制信号信号模拟信号模拟信号三、A/D、D/A 转换器的精度和速度精度保证转换的准确性速度保证适时控制二、常见数模、模数转换器应用系统举例压力传感器温度传感器流7.1 D/A转换器(DAC)7.1.1 D/A转换的基本要求1.D/A转换思路d0d1dn-1DACDACuO或iOn 位二进制如(1101)2可利用运算放大器实现运算2.转换特性DuO/V7654321001 010 011 100 101 110 111一、输入、输出关系框图7.1 D/A转换器(DAC)7.1.1 D/二、D/A 转换的电路组成RRR2R2R2R2RUREFS0S1S2d0d0d1d2d1d2电子开关电阻网络求和运放当 d2d1d0=100,II/2I/4I/8I/2I=UREF/RuO三、工作原理二、D/A 转换的电路组成RRR2R2R2R2RUREFS0当 d2d1d0=110,IRRR2R2R2R2RUREFuOI/2I/4I/8当 d2d1d0=110,IRRR2R2R2R2RURE当 d2d1d0=111,IRRR2R2R2R2RUREFuOI/2I/4I/8表达的一般形式当 d2d1d0=111,IRRR2R2R2R2RURE三、输入为 n 位二进制数时的表达式当 D=dn-1 dn-2 d1 d0 Ku 转换比例系数三、输入为 n 位二进制数时的表达式当 D=dn-1 7.1.2 DAC 的转换精度、速度和主要参数一、转换精度指 D/A 转换器模拟输出产生的最小电压变化量与满刻度输出电压之比,也可用输入的位数表示。为实际输出模拟电压与理想输出模拟电压间的最大误差。ULSBUFSR=12n1分辨率=LSB Least Significant Bit(二)转换误差可用占输出电压满刻度值的百分数表示或可用最低有效位(LSB)的倍数表示。如:(LSB)=输入为 0001 时输出模拟电压的一半。(一)分辨率(Resolution)FSR Full Scale Range7.1.2 DAC 的转换精度、速度和主要参数一、转换精二、转换速度(一)建立时间 ts ts 为在大信号工作下(输入由全 0 变为全 1,或由全 1 变为全 0),输出 电压达到某一规定值所需时间。不包含 UREF 和运放的单片 DAC 最短 ts 0.1 s;包含UREF 和运放的单片 DAC 最短 t s 0,uO 0 2.应用电路单极性输出uOUREFIO1IO25G75203.分辨率单极性输出:分辨率分辨率=5G7520 为 10 位 D/A 转换器,分辨率=当 UREF =10 V时,最小输出电压 uO=9.76 mV双极性输出:对于 5G7520分辨率=当 UREF=10 V 时,最小输出电压 uO=19.6 mV3.分辨率单极性输出:分辨率分辨率=5G7520 为 17.2 A/D 转换器(ADC)7.2.1 A/D 7.2.1 A/D 转换的一般步骤和取样定理转换的一般步骤和取样定理一、模拟量到数字量的转换过程一、模拟量到数字量的转换过程uI(t)CADC的量化编码电路dn-1d1d0uI(t)S模拟量数字量量化编码取样:把时间连续变化的信号变换为时间离散的信号。保持:保持取样信号,使有充分时间将其变为数字信号。取样保持(S/H Sample/Hold)7.2 A/D 转换器(ADC)7.2.1 A/D二、取样定理二、取样定理当满足 fs 2 fimax 时,取样信号可恢复原信号。fs 取样频率。fimax 信号的最高频率分量。tOuIfOfs fimax fimaxuI tO二、取样定理当满足 fs 2 fimax 时,取样三、量化和编码量化单位数字信号最低位LSB所对应的模拟信号大小,用 表示(即 1)。量化把取样后的保持信号化为量化单位的整数倍。量化误差因模拟电压不一定能被 整除而引起的误差。编码把量化的数值用二进制代码表示。三、量化和编码量化单位数字信号最低位LSB所对应的模拟信号大划分量化电平的两种方法01V1/82/83/84/85/86/87/8000001010011100101110111模拟电平二进制代码代表的模拟电平0=01=1/82=2/83=3/84=4/85=5/86=6/87=7/81V1/153/155/157/159/15 11/15 13/150000010100111001011101110模拟电平二进制代码代表的模拟电平0=01=2/152=4/153=6/154=8/155=10/156=12/157=14/15最大量化误差=(1/8)V =/2=(1/15)V划分量化电平的两种方法01V1/82/83/84/85/867.2.2 取样-保持电路一、电路组成及工作原理当 uL 为高电平:RfChRiuIuOuLTRf=RiT 导通,Ch 充电至:uO=uI=uC当 uL 为低电平:T 截止,Ch 基本不放电。uO 保持矛盾矛盾:为使 Ch 充电快,Ri 越小越好;为使电路输入电阻高,Ri 越大越好。7.2.2 取样-保持电路一、电路组成及工作原理当 u二、改进电路(LF198)及工作原理R2ChR1uIuOuLuO300 30 kD1D2S当 uL=1,S 闭合 uO=uO=uI,uC=uI 当 uL=0,S 断开 uO 保持D1、D2的作用:限制 uO 在 uI+uD以内,起保护作用。6 2145387uOuIuLChLF198二、改进电路(LF198)及工作原理R2ChR1uIuO一、基本工作原理电路D/AuI逐次渐近寄存器比较器参考电源时钟信号MSBLSBMSBLSB并行数字输出转换控制信号10003.2V8V101117V01106V01015V01004V00113V000117.2.3 逐次渐近型 A/D 转换器一、基本工作原理电路D/AuI逐次渐近比较器参考时钟MSB读出控制控制逻辑电路逐次渐近寄存器比较器 二、转换过程举例3 位 D/AQ1S1Rd0+CPd1d21Q1S1RFFBFFC1d0d1d2uIuOuCC5位环行移位寄存器Q1Q2 Q3Q4Q5QFFA1S1R/2输出偏移读出控制控制逻辑电路逐次渐近比 二、转换过程举例3 位Q n+1 1 1 1 0 0 1 0 0功能R SQ n10不用保持置1置0不许CP12345Q1 Q2Q3 Q4 Q5QA QB QCuI/VuO/VuO/VuCd2 d1 d00 0 0 0 1 0 0 05.90 0.500 0 01 0 0 0 01 0 043.500 0 00 1 0 0 0 1 1 065.500 0 00 0 1 0 0 1 1 176.510 0 00 0 0 1 01 1 065.500 0 00 0 0 0 1 1 1 065.501 1 0Q n+1 1 1 1 0 0 1 0 7.2.4 双积分型 A/D 转换器转换思路:模拟输入 uI t t 控制计数 CP 个数输出二进制数 一、电路组成CO 1 100 000 101 001 110 010 111 1100 000 10 001 101每进行完一次 2n 进制计数,定时器置 1,S1 合向基准电压电容 C 放电S2CS1uI逻辑控制门C定时器n 位二进制计数器&dn1d0uCPCPCP基准电压 逐次比较型 双积分型7.2.6 A/D 转换器的转换精度和转换速度一、转换精度7.2.7 几种A/D转换器的性能比较一、A/D类型:直接 A/D反馈比较型:逐次比较型,计数型。间接 A/D电压-时间变换型(V-T):双积分型电压-频率变换型(V-F)并联比较型二、性能比较:优点缺点并联比较型转换速度高转换精度差逐次比较型分辨率高、误差低转换速度较快双积分型性能稳定转换精度高抗干扰能力强转换速度低7.2.7 几种A/D转换器的性能比较一、A/D类型:直接
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学培训


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!