数字逻辑电路逻辑函数及其简化课件

上传人:无*** 文档编号:241316168 上传时间:2024-06-17 格式:PPT 页数:141 大小:3.09MB
返回 下载 相关 举报
数字逻辑电路逻辑函数及其简化课件_第1页
第1页 / 共141页
数字逻辑电路逻辑函数及其简化课件_第2页
第2页 / 共141页
数字逻辑电路逻辑函数及其简化课件_第3页
第3页 / 共141页
点击查看更多>>
资源描述
第二章第二章 逻辑函数及其简化逻辑函数及其简化 2.1 逻辑代数逻辑代数 2.2 逻辑函数的简化逻辑函数的简化 第二章 逻辑函数及其简化 2.1 逻辑代数 1849年,英国数学家乔治年,英国数学家乔治-布尔,布尔,布尔代数布尔代数 描述客观事物逻辑关系的数学方法描述客观事物逻辑关系的数学方法1938年,克劳德年,克劳德-香农,香农,开关代数开关代数 将布尔代数应用到继电器开关电路的设计,又称为将布尔代数应用到继电器开关电路的设计,又称为。布尔代数成为数字逻辑电路分析和设计的基础,又称为布尔代数成为数字逻辑电路分析和设计的基础,又称为 逻辑代数逻辑代数本章重点:逻辑函数化简本章重点:逻辑函数化简1849年,英国数学家乔治-布尔,布尔代数2.1 逻辑代数逻辑代数2.1.1 基本逻辑基本逻辑 逻辑运算是逻辑思维和逻辑推理的数学描述。具有“真”与“假”两种可能,并且可以判定其“真”、“假”的陈述语句叫逻辑变量。一般用英文大写字母A,B,C表示。例如,“开关A闭合着”,“电灯F亮着”,“开关D开路着”等均为逻辑变量,可分别将其记作A,F,D;“开关B不太灵活”,“电灯L价格很贵”等均不是逻辑变量。2.1 逻辑代数 一个结论成立与否,取决于与其相关的前提条件是否成立。结论与前提条件之间的因果关系叫逻辑函数。通常记作:F=f(A,B,C,)逻辑函数 F 也是一个逻辑变量,叫做因变量或输出变量。因此它们也只有“1”和“0”两种取值,相对地把A,B,C,叫做自变量或输入变量。2.1.1 基本逻辑基本逻辑 一个结论成立与否,取决于与其相关的前提条件是1.与逻辑与逻辑(与运算、与运算、逻辑乘逻辑乘)决定某一结论的所有条件同时成立,结论才成立,这种因果关系叫与逻辑,也叫与运算或叫逻辑乘。图 2-1 与门逻辑电路实例图 例如,对图2-1所示电路的功能作如下描述:“开关A闭合,并且开关B闭合,则电灯F亮”。2.1.1 基本逻辑基本逻辑1.与逻辑(与运算、逻辑乘)图 2-1 与门逻辑电这三个陈述语句均具有“真”、“假”两种可能,其对应关系如表2-1(a)所示。用“1”代表逻辑“真”,用“0”代表逻辑“假”,则表2-1(a)可改为表2-1(b)的形式。这种表格叫真值表。所谓真值表,就是将输入变量的所有可能的取值组合对应的输出变量的值一一列出来的表格。它是描述逻辑功能的一种重要形式。表 2-1 与逻辑的真值表(a)(b)A B FA BF假 假假 真真 假真 真假假假真0 00 11 01 100011.与逻辑与逻辑(与运算、与运算、逻辑乘逻辑乘)这三个陈述语句均具有“真”、“假”两种可能,其对应关系如表2 由表2-1可知,上述三个语句之间的因果关系属于与逻辑。其逻辑表达式(也叫逻辑函数式)为:F=AB读作“F等于A乘B”。在不致于混淆的情况下,可以把符号“”省掉。在有些文献中,也采用、&等符号来表示逻辑乘。由表2-1的真值表可知,逻辑乘的基本运算规则为:00=0 01=0 10=0 11=1 0A=0 1A=A AA=A1.与逻辑与逻辑(与运算、与运算、逻辑乘逻辑乘)由表2-1可知,上述三个语句之间的因果关系属于 实现“与运算”的电路叫与门,其逻辑符号如图2-2所示,其中图(a)是我国常用的传统符号,图(b)为国外流行符号,图(c)为国家标准符号。图 2-2 与门的逻辑符号 1.与逻辑与逻辑(与运算、与运算、逻辑乘逻辑乘)实现“与运算”的电路叫与门,其逻辑符号如图2-决定某一结论的所有条件中,只要有一个成立,则结论就成立,这种因果关系叫或逻辑。例如,对图2-3所示电路的功能,作如下描述:“开关A闭合,或者开关B闭合,则电灯F亮”。显然这三个语句都是逻辑变量,分别记作A,B,F。其真值表如表2-2所示。图 2-3 或门逻辑电路实例图 2.或逻辑或逻辑(或运算、逻辑加或运算、逻辑加)决定某一结论的所有条件中,只要有一个成立,则结论就成立,表 2-2 或逻辑的真值表(a)(b)A B FA BF假 假假 真真 假真 真假真真真0 00 11 01 10111由表2-2可知,上述三个语句之间的因果关系属于或逻辑。其逻辑表达式为:F=A+B读作“F等于A加B”。有些文献也采用、等符号来表示逻辑加。2.或逻辑或逻辑(或运算、逻辑加或运算、逻辑加)表 2-2 或逻辑的真值表(a)(b)A 逻辑加的运算规则为:0+0=0 0+1=1 1+0=1 1+1=1 0+A=A 1+A=1 A+A=A 实现“或运算”的电路叫或门,其逻辑符号如图2-4所示。图 2-4 或门的逻辑符号 2.或逻辑或逻辑(或运算、逻辑加或运算、逻辑加)逻辑加的运算规则为:图 2-4 或门的逻辑符号 2.若前提条件为“真”,则结论为“假”;若前提条件为“假”,则结论为“真”。即结论是对前提条件的否定,这种因果关系叫非逻辑。例如,对图2-5所示电路的功能作如下描述:“若开关A闭合,则电灯F就亮”。把以上两个陈述句分别记作A、F,则其真值表如表2-3所示。图 2-5 非门逻辑电路实例图 3.非逻辑非逻辑(非运算,非运算,逻辑反逻辑反)若前提条件为“真”,则结论为“假”;若前提条件为“假”,(a)(b)A FA F假真真假0 1 10表 2-3 非逻辑的真值表 3.非逻辑非逻辑(非运算,非运算,逻辑反逻辑反)由表2-3的真值表可知,上述两个语句之间的因果关系属于非逻辑,也叫非运算或者叫逻辑反。其逻辑表达式为:读作“F等于A非”。通常称A为原变量,为反变量,二者共同称为互补变量(a)(b)A FA F假真0 1完成“非运算”的电路叫非门或者叫反相器,其逻辑符号如图2-6所示。3.非逻辑非逻辑(非运算,非运算,逻辑反逻辑反)非运算的运算规则是:图 2-6 非门的逻辑符号(a)常用符号;(b)国外流行符号;(c)国标符号 完成“非运算”的电路叫非门或者叫反相器,其逻辑符号如图2-62.1.2 基本逻辑运算基本逻辑运算 1.逻辑加(或运算)逻辑加(或运算)逻辑加的意义是A或B只要有一个为1,则函数值P就为1。它表示或逻辑的关系。在电路上可用或门实现逻辑加运算,又称为或运算。运算规则为:A0AA11AAA推出0000111011112.1.2 基本逻辑运算 1.逻辑加(或运算)A0 2.逻辑乘(与运算)逻辑乘(与运算)逻辑乘的意义是A或B都为1时,函数值P才为1。它表示与逻辑的关系。在电路上可用与门实现逻辑乘运算,又称为与运算。运算规则为:推出 2.逻辑乘(与运算)推出 3.逻辑非(非运算)逻辑非(非运算)逻辑非的意义是函数值为输入变量的反。在电路上可用非门实现逻辑非运算,又称为非运算。运算规则为:推出 3.逻辑非(非运算)推出4.复合逻辑运算复合逻辑运算(1)与非逻辑与非逻辑 “与非”逻辑是“与”逻辑和“非”逻辑的组合。先“与”再“非”。其表达式为 实现“与非”逻辑运算的电路叫“与非门”。其逻辑符号如图2-7所示。(a)常用符号;(b)国外流行符号;(c)国标符号图 2-7 与非门的逻辑符号4.复合逻辑运算(1)与非逻辑 实现“(2)“或非或非”逻辑逻辑 “或非”逻辑是“或”逻辑和“非”逻辑的组合。先“或”后“非”。其表达式为:实现“或非”逻辑运算的电路叫“或非门”。其逻辑符号如图2-8所示。(a)常用符号;(b)国外流行符号;(c)国标符号图图 2-8 或非门的逻辑符号(2)“或非”逻辑 实现“或非”逻辑运算的 (3)“与或非与或非”逻辑逻辑 “与或非”逻辑是“与”、“或”、“非”三种基本逻辑的组合。其表达式为:实现“与或非”逻辑运算的电路叫“与或非门”。其逻辑符号如图2-9所示。(a)常用符号;(b)国外流行符号;(c)国标符号图 2-9 与或非门的逻辑符号 (3)“与或非”逻辑 实现“与(4)“异或异或”逻辑及逻辑及“同或同或”逻辑逻辑两变量的“异或”及“同或”逻辑 若两个输入变量A、B的取值相异,则输出变量P为1;若A、B的取值相同,则P为0。这种逻辑关系叫“异或”逻辑,其逻辑表达式为:读作“P等于A异或B”。“异或”运算也叫“模2加”运算。(4)“异或”逻辑及“同或”逻辑 实现“异或”运算的电路叫“异或门”。其逻辑符号如图2-10所示。(a)常用符号;(b)国外流行符号;(c)国标符号图2-10 异或门的逻辑符号 实现“异或”运算的电路叫“异或门”。其逻辑符若两个输入变量A、B的取值相同,则输出变量P为1;若A、B取值相异,则P为0。这种逻辑关系叫“同或”逻辑,也叫“符合”逻辑。其逻辑表达式为:实现“同或”运算的电路叫“同或门”。其逻辑符号如图2-11所示。(a)常用符号;(b)国外流行符号;(c)国标符号图 2-11 同或门的逻辑符号若两个输入变量A、B的取值相同,则输出变量P为1;若A、B两变量的“异或”及“同或”逻辑的真值表如表2-4所示。表 2-4 “异或”及“同或”逻辑真值表 A B0 00 11 01 101101001两变量的“异或”及“同或”逻辑的真值表如表2-4所示。表“异或”和“同或”的运算规则:00=101=010=011=1A0=AA1=AAA=0AA=1AB=ABAB=ABAB=ABAB=AB=ABAB=AB=AB“异或”和“同或”的运算规则:00=1A0=AAB=A定义:对于输入变量的所有取值组合,函数F1和F2的取值总是相反,则称F1和F2互为反函数。记作:由表2-4可知,两变量的“异或逻辑”和“同或逻辑”互为反函数。即 由对偶规则(见2.1.5)可知,A B和AB互为对偶式。ABAB反函数定义:对于输入变量的所有取值组合,函数F1和F2的取值总是相多变量的多变量的“异或异或”及及“同或同或”逻辑逻辑 多变量的“异或”或“同或”运算,要利用两变量的“异或门”或“同或门”来实现。实现电路分别如图2-12和图2-13所示。(1)n个变量的“异或”逻辑的输出值和输入变量取值的对应关系是:输入变量的取值组合中,有奇数个1时,“异或”逻辑的输出值为1;反之,输出值为0。利用此特性,可作为奇偶校验码校验位的产生电路。(2)偶数个变量的“同或”,等于这偶数个变量的“异或”之非。奇数个变量的“同或”,等于这奇数个变量的“异或”。多变量的“异或”及“同或”逻辑(1)n个变量的“异或”逻图 2-12 多变量的“异或”电路 由图2-12(a)得:由图2-12(b)得:图 2-12 多变量的“异或”电路 由图2-12(a)得:图2-13 多变量的“同或”电路由图2-13(a)得:由图2-13(b)得:Y1=A BY=Y1 C=(A B)C=A B CY1=A B Y2=C DY=Y1 Y2=(A B)(C D)=A B C D图2-13 多变量的“同或”电路由图2-13(a)得:由2.1.3 真值表与逻辑函数真值表与逻辑函数 图2-14 楼道灯开关示意图ABadbc 在实际问题中,基本逻辑运算很少单独出现。开关A 开关B 灯 c d 亮 c b 灭 a d 灭 a b 亮2.1.3 真值表与逻辑函数 图2-14 楼道灯开关示意图设逻辑变量设逻辑变量 开关A 开关B 灯 c d 亮 c b 灭 a d 灭 a b 亮取P=1 表示灯亮 P=0 表示灯灭开关A和B接a,b时为1开关A和B接c,d时为0A B P0 0 10 1 01 0 01 1 1真值表真值表逻辑函数表达式:逻辑函数表达式:设逻辑变量 开关A 开关B 灯取P=1 表示灯亮A与或表达式:与或表达式:把每个输出变量P=1的相对应一组输入变量组合状态以逻辑乘的形式表示(用原变量表示变量取值1,反变量表示取0),再将所有P=1的逻辑乘进行逻辑加,即得出P的逻辑表达式,这种表达式又称为与或表达式,或称为“积之和”式。或与表达式:或与表达式:把每个输出变量P=0的相对应一组输入变量组合状态以逻辑乘的形式表示(用原变量表示变量取值0,反变量表示取1),再将所有P=0的逻辑加进行逻辑乘,即得出P的逻辑表达式,这种表达式又称为或与表达式,或称为“和之积”式。与或表达式:把每个输出变量P=1的相对应一组输入变量组合状例例2-1 列出下述问题的真值表,并写出描述该问题的逻辑函数列出下述问题的真值表,并写出描述该问题的逻辑函数表达式。表达式。有A、B、C3个输入信号,当3个输入信号中有两个或两个以上为高电平时,输出为高电平,其余情况下,均输出低电平。解解 A、B、C3个输入信号共有8中可能的输入组合,000,001,010,011,100,101,110,111根据问题的要求,可得到真值表如下:A 0 1 0 1 0 1 0 1B 0 0 1 1 0 0 1 1C 0 0 0 0 1 1 1 1P 0 0 0 1 0 1 1 1函数表达式为:表 2-5 真值表 例2-1 列出下述问题的真值表,并写出描述该问题的逻辑函数表2.1.4 逻辑函数相等逻辑函数相等 假设,F(A1,A2,An)为变量A1,A2,An的逻辑函数,G(A1,A2,An)为变量A1,A2,An的另一逻辑函数,如果对应于A1,A2,An的任一组状态组合,F和G的值都相同,则称F和G是等值的,或者说F和G相等,记作F=G.F和G有相同的真值表 FG2.1.4 逻辑函数相等 假设,F(A1,A2,例例2-2 设设 F(A,B,C)=A(B+C)G(A,B,C)=AB+AC试证明:试证明:F=GA B C F=A(B+C)G=AB+AC0 0 0 0 00 0 1 0 00 1 0 0 00 1 1 0 01 0 0 0 01 0 1 1 11 1 0 1 11 1 1 1 1证明:证明:真值表真值表表 2-6 真值表 例2-2 设 F(A,B,C)=A结论结论:在在“相等相等”的意义下,的意义下,A(B+C)和和AB+AC是表是表示同一逻辑的两种不同的表达式。示同一逻辑的两种不同的表达式。(1)关于变量和常量关系的公式)关于变量和常量关系的公式 p24A+0=AA+1=1结论:在“相等”的意义下,A(B+C)和AB+AC是表示同一交换律交换律 A+B=B+A AB=BA(2)交换律、结合律、分配律)交换律、结合律、分配律 p24 结合律结合律 A+B+C=(A+B)+C ABC=(AB)C分配律分配律 A(B+C)=AB+AC A+BC=(A+B)(A+C)交换律 A+B=B+A(2)交换律、结合律、分配律(3)逻辑代数的一些特殊规律)逻辑代数的一些特殊规律 p24-25重叠律重叠律 A+A=A AA=A反演律反演律(3)逻辑代数的一些特殊规律 p24-252.1.5 三个规则三个规则 1、代入规则 2、反演规则 3、对偶规则 1、代入规则 任何一个含有变量A的等式,如果将所有出现A的地方都代之以一个逻辑函数F,则等式仍然成立。例2-3 已知等式A(B+E)=AB+AE,试证明将所有出现E的地方代之以(C+D),等式仍成立。解:原式左边AB+(C+D)=AB+A(C+D)=AB+AC+AD 原式右边AB+A(C+D)=AB+AC+AD 所以等式成立:AB+(C+D)=AB+A(C+D)2.1.5 三个规则 1、代入规则 2、反2、反演规则(德摩根定理,互补规则)例2-4 已知 ,求 解:可以推导直接用反演规则设F是一个逻辑函数表达式,如果将F进行如下转换:0 11 0所有变量取反得到新的函数式 ,称为原函数F的反函数,或称为补函数 2、反演规则(德摩根定理,互补规则)例3、对偶规则、对偶规则设F是一个逻辑函数表达式,如果将F进行如下转换:0 11 0得到新的函数式 ,称为原函数F的对偶式F=A(B+C)G=AB+AC则:3、对偶规则设F是一个逻辑函数表达式,如果将F进行如下转换:2.1.6 常用公式常用公式2.1.6 常用公式证明证明:推广之推广之:CAABBCCAABBCD(G+E)BCCAABBCD(G+E)CAAB+=+=+=+1吸收吸收吸收吸收证明p28常用公式4CAABBCAABCCAAB+=+=证明:推广之:CAABBCCAABBCD(G+E)BCCA 基本表达形式基本表达形式 按逻辑函数表达式中乘积项的特点以及各乘积项之间的关系,可分5种一般形式。例:与或式与或式与非与非式与非与非式与或非式与或非式或与式或与式或非或非式或非或非式2.1.7 逻辑函数的标准形式逻辑函数的标准形式 基本表达形式与或式与非与非式与或非式或与式或非或非 最小项及最小项表达式最小项及最小项表达式最小项及最小项表达式最小项及最小项表达式如果一个具有n个变量的函数的“积”项包含全部n个变量,每个变量都以原变量或反变量形式出现,且仅出现一次,则这个“积”项被称为最小项最小项,也叫标准积标准积。假如一个函数完全由最小项的和组成,那么该函数表达式称为最小项表达式最小项表达式。最小项表达式最小项表达式 最小项及最小项表达式如果一个具有n个变量的函数的“积”变量的各组取值A B C000001010011100101110111对应的最小项及其编号最小项编 号编号规则编号规则:原变量取原变量取1,反变量取反变量取0。表 2-7 三变量函数的最小项:变量的各组取值A B C00 0对应的最小项及例例2-5 将将 展开成最小项表达式展开成最小项表达式解:解:例2-5 将 即n个变量的所有最小项之和恒等于1。所以=m2+m3+m6+m7注意:变量的顺序.=m(2,3,6,7)即n个变量的所有最小项之和恒等于1。所以=m2+m3+2)当时,。1)只有一组取值使 mi1。3)全部最小项之和等于1,即mi1。最小项的性质最小项的性质:2)当时,。1)只有一组取值使 mi1。3)5)当函数以最小项之和形式表示时,可很容易列出函数及反函数的真值表(在真值表中,函数所包含的最小项填“1”)。4)n变量的最小项有n个相邻项。一对相邻项之和可以消去一个变量。相邻项:只有一个变量不同(以相反的形式出现)。5)当函数以最小项之和形式表示时,可很容易列出4)n变量的最一般表达式一般表达式:除非号除非号去括号去括号补因子补因子真值表真值表除非号除非号去括号去括号补因子补因子方法方法 最小项表达式的求法最小项表达式的求法一般表达式:除非号去括号补因子除非号去括号补因子方法例例2-6:函数 F=AB+AC A B C F0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11111其其余余补补00000表 2-8用真值表求最小项表达式用真值表求最小项表达式例2-6:函数 F=AB+AC A B C 例例2-7:函数 F=AB+AC 所以所以:F=m(1,3,4,5)由一般表达式直接写出最小项表达式由一般表达式直接写出最小项表达式例2-7:函数 F=AB+AC 所以:F=m 最大项及最大项表达式最大项及最大项表达式最大项及最大项表达式最大项及最大项表达式如果一个具有n个变量的函数的“和”项包含全部n个变量,每个变量都以原变量或反变量形式出现,且仅出现一次,则这个“和”项被称为最大项最大项,也叫标准和标准和。假如一个函数完全由最大项的积组成,那么该函数表达式称为最大项表达式最大项表达式。最大项表达式最大项表达式 最大项及最大项表达式如果一个具有n个变量的函数的“和”变量的各组取值A B C000001010011100101110111对应的最大项及其编号最大项编 号编号规则编号规则:原变量取原变量取0,反变量取反变量取1。表 2-9 三变量函数的最大项变量的各组取值A B C00 0对应的最大项及所以与最小项类似,有注意:变量顺序.例如:例如:最大项表达式:F所以与最小项类似,有注意:变量顺序.例如:最大项表达式:以最小项之和的形式表示的函数可以转换成最大项之积的形式,反之亦然。=m(2,3,6,7)F(A,B,C)=m(0,1,4,5)=(A+B+C)(A+B+C)(A+B+C)(A+B+C)而:所以,有 F(A,B,C)=m(2,3,6,7)=M(0,1,4,5)F(A,B,C)=m(0,1,4,5)同理 两种标准形式的转换以最小项之和的形式表示的函数可以转换成例例2-8A B C F0 0 0 10 0 1 10 1 0 10 1 1 11 0 0 11 0 1 01 1 0 01 1 1 0解解表 2-10例2-8A B C F解表 2-10作业作业2-1P51 52 习题习题 1.(3),2.(2),3.(1)(3),4.(1)(3),5.(4)(7),7.(1)小结小结2-1重点:重点:常用公式 三个规则(代入规则,反演规则,对偶规则)难点:难点:反演规则作业2-1小结2-12.2 逻辑函数的简化逻辑函数的简化逻辑函数与逻辑图逻辑函数与逻辑图图 2-15 函数的逻辑图2.2 逻辑函数的简化逻辑函数与逻辑图图 2-15 从逻辑问题概括出来的逻辑函数式,不一定是最简式。化简电路,就是为了降低系统的成本,提高电路的可靠性,以便用最少的门实现它们。例如函数如直接由该函数式得到电路图,则如图2-16所示。图 2-16 F 原函数的逻辑图从逻辑问题概括出来的逻辑函数式,不一定是最简式。化简电路 但如果将函数化简后其函数式为F=AC+B只要两个门就够了,如图2-17所示。图 2-17 函数化简后的逻辑图力争力争“表达式简单表达式简单”“电路使用元器件少电路使用元器件少”“设备简单设备简单”但如果将函数化简后其函数式为图 2-17 函数化简后的逻逻辑函数化简的原则逻辑函数化简的原则 逻辑函数化简,并没有一个严格的原则,通常遵循以下几条原则:(1)逻辑电路所用的门最少;(2)各个门的输入端要少;(3)逻辑电路所用的级数要少;(4)逻辑电路能可靠地工作。逻辑函数化简的原则逻辑函数化简的方法逻辑函数化简的方法 逻辑函数化简,根据函数的特点,主要有三种方法:(1)公式法化简;(2)卡诺图化简;(3)计算机辅助系统化简。逻辑函数化简的方法该方法运用逻辑代数的公理、定理和规则对逻辑函数进行推导、变换而进行化简,没有固定的步骤可以遵循,主要取决于对公理、定理和规则的熟练掌握及灵活运用的程度。有时很难判定结果是否为最简。2.2.1 公式化简法(代数法)公式化简法(代数法)该方法运用逻辑代数的公理、定理和规则对逻辑函数进行推导、变换2.1.6 常用公式常用公式2.1.6 常用公式 1.应用吸收定律应用吸收定律1 任何两个相同变量的逻辑项,只有一个变量取值不同(一项以原变量形式出现,另一项以反变量形式出现),我们称为逻辑相邻项(简称相邻项)。如AB与 ,ABC与 都是相邻关系。如果函数存在相邻项,可利用吸收定律1,将它们合并为一项,同时消去一个变量。1.应用吸收定律1例例 2-9解解 有时两个相邻项并非典型形式,应用代入法则可以扩大吸收定律1的应用范围。例例 2-10解解 令 ,则例 2-9解 有时两个相邻项并非典型形式,应用例例 2-11解解令例例2-12解解利用等幂律,一项可以重复用几次。例 2-11解令例2-12解利用等幂律,一项可以重复用几次。例例2-13其中 与其余四项均是相邻关系,可以重复使用。解解所以所以例2-13其中 与其余四项均是2.应用吸收定律应用吸收定律2、3 利用它们,可以消去逻辑函数式中某些多余项和多余因子。若式中存在某单因子项,则包含该因子的其它项为多余项,可消去。如其它项包含该因子的“反”形式,则该项中的“反”因子为多余变量,可消去。例例 2-14解解2.应用吸收定律2、3 利用它们,可以例例 2-15解解 令 ,则例 2-15解 令 ,则例例 2-16解解令例 2-16解令3.应用多余项定律应用多余项定律例例 2-17解解例例 2-18解解3.应用多余项定律例 2-17解例 2-18解例例 2-19 化简解解例 2-19 化简解4.综合例子综合例子例例 2-20 化简解解4.综合例子例 2-20 化简解5.拆项法拆项法例例2-21化简 解解 直接用公式已无法再化简时,可采用拆项法。拆项法就是用 去乘某一项,将一项拆成两项,再利用公式与别的项合并达到化简的目的。5.拆项法例2-21化简 解 直接用公式 6.添项法添项法 在函数中加入零项因子 ,利用加进的新项,进一步化简函数。例例2-22 化简化简 解解 6.添项法解:例例2-23 解:例2-23 例例2-24 例2-24 反演反演被吸收被吸收被吸收被吸收配项配项例例2-25反演被吸收被吸收配项例2-25作业作业2-2P52-53 习题习题 8.(1)(3)(5)小结小结2-2重点:重点:常用公式的理解与熟练应用难点:难点:公式法化简如何得到一个最简的结果作业2-2小结2-22.2.2 图解法(卡诺图化简)图解法(卡诺图化简)1、卡诺图化简的基本原理、卡诺图化简的基本原理 卡诺图的结构特点是需保证逻辑函数的逻辑相邻关系,即图上的几何相邻关系。卡诺图上每一个小方格代表一个最小项。为保证上述相邻关系,每相邻方格的变量组合之间只允许一个变量取值不同。为此,卡诺图的变量标注均采用循环码。2.2.2 图解法(卡诺图化简)1、卡诺图化简的基本原理 如图所示:一变量卡诺图:有21=2个最小项,因此有两个方格。外标的0表示取A的反变量,1表示取A的原变量。二变量卡诺图:有2=4个最小项,因此有四个方格。外标的0、1含义与前一样。三变量卡诺图:有23=8个最小项。图 2-18 15变量的卡诺图(1)如图所示:图 2-18 15变量的卡诺图 四变量、五变量卡诺图分别有24=16和25=32个最小项,其卡诺图如图2-18(d)和2-184(e)所示。图 2-18 15变量的卡诺图(2)图 2-18 15变量的卡诺图(2)2、逻辑函数的卡诺图表示法、逻辑函数的卡诺图表示法 若将逻辑函数式化成最小项表达式,则可在相应变量的方格中填上1,其余填0,以下函数可用卡诺图表示成图2-19。如逻辑函数式是一般式,则应首先展开成最小项标准式。实际中,一般函数式可直接用卡诺图表示。图 2-19 逻辑函数用卡诺图表示2、逻辑函数的卡诺图表示法 若将逻辑函数式化成例2-26 将 用卡诺图表示。解解 我们逐项用卡诺图表示,然后再合起来即可。:在B=1,C=0对应的方格(不管A,D取值)填1;:在C=1,D=0所对应方格中填1;:在B=0,C=D=1对应方格中填1;:在A=C=0,D=1对应方格中填1;ABCD:填1。图 2-20 逻辑函数直接用卡诺图表示例2-26 将 3、相邻最小项合并规律、相邻最小项合并规律 1.两相邻项可合并为一项,消去一个取值不同的变量,保留相同变量;2.四相邻项可合并为一项,消去两个取值不同的变量,保留相同变量,标注为1原变量,0反变量;3.八相邻项可合并为一项,消去三个取值不同的变量,保留相同变量,标注与变量关系同上。4.按上规律,不难得16个相邻项合并的规律。3、相邻最小项合并规律图 2-21 相邻最小项合并规律图 2-21 相邻最小项合并规律注意:合并的规律是2n个最小项的相邻项可合并,不满足2n关系的最小项不可合并。如2、4、8、16个相邻项可合并,其它的均不能合并;而且相邻关系应是封闭的,如m0、m1、m3、m2四个最小项,m0与m1,m1与m3,m3与m2均相邻,且m2和m0还相邻。这样的2n个相邻的最小项可合并。而m0、m1、m3、m7,由于m0与m7不相邻,因而这四个最小项不可合并为一项。注意:合并的规律是2n个最小项的相邻项可合并,不满足2n关系4、与或逻辑化简、与或逻辑化简 运用最小项标准式,在卡诺图上进行逻辑函数化简,得到的基本形式是与或逻辑。其步骤如下:(1)将原始函数用卡诺图表示;(2)根据最小项合并规律画卡诺圈,圈住全部“”方格;(3)将上述全部卡诺圈的结果,“或”起来即得化简后的新函数;(4)由逻辑门电路,组成逻辑电路图。4、与或逻辑化简 运用最小项标准式,在卡诺图例例2-27 化简解解 第一步:用卡诺图表示该逻辑函数。:对应m3、m11对应m4、m5、m12、m13对应m1、m5对应m10、m11图 2-22 例2-27函数的卡诺图表示例2-27 化简解 第一步:用卡诺图表示该逻辑函 第二步:画卡诺圈圈住全部“”方格。具体化简过程见图2-23。为便于检查,每个卡诺圈化简结果应标在卡诺图上。图 2-23 例2-27的化简过程 第二步:画卡诺圈圈住全部“”方格。图 第三步:组成新函数。每一个卡诺圈对应一个与项,然后再将各与项“或”起来得新函数。故化简结果为 第四步:画出逻辑电路。图 2-24 例2-27化简后的逻辑图 第三步:组成新函数。每一个卡诺例例 2-28 化简 解解 其卡诺图及化简过程如图2-11所示。在卡诺圈有多种圈法时,要注意如何使卡诺圈数目最少,同时又要尽可能地使卡诺圈大。比较图(a)、(b)两种圈法,显然图(b)圈法优于图(a)圈法,因为它少一个卡诺圈,组成电路就少用一个与门。故化简结果应为图(b),逻辑图如图2-12所示。其化简函数为例 2-28 化简 解 其卡诺图及化简过程图 2-25 例2-28化简过程图 2-25 例2-28化简过程图 2-26 例2-28逻辑图图 2-26 例2-28逻辑图例例2-29 化简 解解 该函数的卡诺图如图2-27(a)所示,化简情况如图(b)、(c)所示。图(b)是初学者常圈成的结果,图(c)是正确结果,即这二者的差别在于图(b)将m6和m14圈为二单元圈。图(c)将m4、m6、m12、m14圈成四单元圈。前者化简结果为BCD,而后者为BD,少了一个变量。例2-29 化简 解 该函数的卡诺图如图图 2-27 例2-29的化简过程图 2-27 例2-29的化简过程例例 2-30 化简 解解 其卡诺图及化简过程如图2-28(a)所示,逻辑图如图(b)所示,化简函数为 此例在圈的过程中注意四个角m0、m2、m8、m10可以圈成四单元圈。例 2-30 化简 解 其卡诺图及化简过程图 2-28 例2-30化简过程及逻辑图图 2-28 例2-30化简过程及逻辑图例例2-31 化简 解解 化简过程如图2-29(a)、(b)所示,(a)中出现了多余圈。m5、m7、m13、m15虽然可圈成四单元圈,但它的每一个最小项均被别的卡诺圈圈过,是多余圈,此时最佳结果应如图(b)所示。化简结果的逻辑电路图如图2-29(c)所示,化简函数为例2-31 化简 解 化简过程如图2-图 2-29 例2-31化简过程及逻辑图图 2-29 例2-31化简过程及逻辑图5、其它逻辑形式的化简、其它逻辑形式的化简(1)与非逻辑形式)与非逻辑形式 所谓与非式,就是全由与非门实现该逻辑,前面讲逻辑函数相互变换时已讲过,将与或式两次求反即得与非式。其化简步骤如下:第一步:在卡诺图上圈“”方格,求得最简与或式;第二步:将最简与或式两次求反,用求反律展开一次,得到与非表示式;第三步:根据与非式,用与非门组成逻辑电路。5、其它逻辑形式的化简(1)与非逻辑形式例例 2-32 将例2-272-31用与非门实现。解解 例2-27与或结果为图 2-30 例2-27用与非门实现例 2-32 将例2-272-31用与非门实现。图例2-29例2-32各与非式为(例2-28)(例2-29)例2-29例2-32各与非式为(例2-28)(例2-29)(例2-30)(例2-31)(例2-30)(例2-31)图 2-31 例2-28例2-31的与非逻辑图图 2-31 例2-28例2-31的与非逻辑图(2)或与逻辑形式)或与逻辑形式 首先从卡诺图上求其反函数,其方法是圈“”方格,然后再用摩根定律取反即得或与式。例例 2-33求求 的反函数和或与式。图 2-32 求例2-33的反函数解解 求反函数过程如图2-32所示。(2)或与逻辑形式图 2-32 求例2-33的反函数解 其次,再由反函数求得原函数,利用摩根定律就得或与式。图 2-33 从卡诺图上直接圈得或与式其次,再由反函数求得原函数,利用摩根定律就得或与式。图 总结如下:在卡诺图上圈“0”方格,其化简结果:变量为0原变量;变量为1反变量,然后变量再相“或”起来,就得每一或项,最后再将每一或项“与”起来而得或与式。故此例可不通过求反函数,直接由上述过程得到或与式(如图2-33所示):总结如下:其逻辑图如图2-34所示。图 2-34 例2-33的或与逻辑图其逻辑图如图2-34所示。图 2-34 例2-33的或与逻辑(3)或非逻辑形式)或非逻辑形式将或与逻辑两次求反即得或非表示式:(3)或非逻辑形式按逻辑表达式即可画出或非逻辑电路图,如图2-35所示。图 2-35 例2-33的或非逻辑图按逻辑表达式即可画出或非逻辑电路图,如图2-35所示。图 2(4)与或非逻辑形式)与或非逻辑形式 与或非逻辑形式可从两种途径得到:一种是从与或式得到,例2-27将结果两次求反,不用摩根定律处理,即得与或非式。另一种是求得反函数后,再求一次反,即不用摩根定律处理,也可得与或非式。例2-33的结果求反即得。其逻辑图如图2-36所示。一般前一种途径所得电路要多用一个反相器,所以常用后一种方法得最简与或非式。(4)与或非逻辑形式另一种是求得反函数后,再求一次反,即不图 2-36 例2-27、例2-33的与或非逻辑图图 2-36 例2-27、例2-33的与或非逻辑图作业作业2-3P53 习题习题 9.(1)(4)(5)(7)小结小结2-3重点:重点:卡诺图化简四变量逻辑函数难点:难点:如何化简到最优状态作业2-3小结2-36、无关项及无关项的应用、无关项及无关项的应用 逻辑问题分完全描述和非完全描述两种,对应于变量的每一组取值,函数都有定义,即在每一组变量取值下,函数F都有确定的值,不是“”就是“”,如表2-5所示。逻辑函数与每个最小项均有关,这类问题称为完全描述问题。在实际的逻辑问题中,变量的某些取值组合不允许出现,或者是变量之间具有一定的制约关系。我们将这类问题称为非完全描述,如表2-6所示。该函数只与部分最小项有关,而与另一些最小项无关,我们用或者d或用表示。6、无关项及无关项的应用 逻辑问题分完全描述和非表 2-5完全描述 A B CF00001111001100110101010100010010表 2-6 非完全描述 A B CF000011110011001101010101010X1XXX表 2-5完全描述 A B 对于含有无关项逻辑函数可表示为也可表示为即不允许AB或AC或BC为1。对于含有无关项逻辑函数可表示为也可表示为即不允许AB或AC或图 2-37 不考虑无关项的化简图 2-38 考虑无关项函数化简11ABC000111100100图 2-37 不考虑无关项的化简图 2-38 考虑无关项 包含无关最小项的逻辑函数的化简包含无关最小项的逻辑函数的化简无无关关最最小小项项:一一个个逻逻辑辑函函数数,如如果果它它的的某某些些输输入入取取值值组组合合因因受受特特殊殊原原因因制制约约而而不不会会再再现现,或或者者虽虽然然每每种种输输入入取取值值组组合合都都可可能能出出现现,但但此此时时函函数数取取值值为为1还还是是为为0无无关关紧紧要要,那那么么这这些些输输入入取取值值组组合合所所对对应应的的最最小小项项称称为为无无关关最最小小项项。无关最小项用无关最小项用“d”或者或者“”或者用或者用表示。表示。无关最小项可以随意加到函数表达式中,或不加到无关最小项可以随意加到函数表达式中,或不加到函数表达式中,并不影响函数的实际逻辑功能。函数表达式中,并不影响函数的实际逻辑功能。其值可以取其值可以取1,也可以取,也可以取0。包含无关最小项的逻辑函数的化简无关最小项:一个逻辑函数,例例2-34:十字路口红绿灯,设控制信号G=1 绿灯亮;绿灯亮;控制信号R=1 红灯亮;红灯亮;则则 GR可以为GR=00、01、10,但GR 11。例例2-35:电动机正反转控制,设控制信号F=1 正转;正转;控制信号R=1 反转;反转;则则 FR可以为FR=00、01、10,但FR 11。例例2-36:8421BCD码中,从1010 1111的六种编码不允许出现,可视为无关最小项。无关最小项。例2-34:十字路口红绿灯,设控制信号G=1 绿灯亮;例A B C DF0 0 0 0d0 0 0 110 0 1 000 0 1 110 1 0 0d0 1 0 110 1 1 000 1 1 1d1 0 0 0d1 0 0 101 0 1 011 0 1 1d1 1 0 011 1 0 101 1 1 011 1 1 1d100 01 11 1000011110ABCD11111解:解:1)不考虑无关最小项不考虑无关最小项:例例2-37:给定某电路的逻辑函数真值表如下,求给定某电路的逻辑函数真值表如下,求F的最简与或式。的最简与或式。A B C DF100 01 11 100A B C DF0 0 0 0d0 0 0 110 0 1 000 0 1 110 1 0 0d0 1 0 110 1 1 000 1 1 1d1 0 0 0d1 0 0 101 0 1 011 0 1 1d1 1 0 011 1 0 101 1 1 011 1 1 1d100 01 11 1000011110ABCD11111dddddd2)考虑无关最小项:考虑无关最小项:A B C DF100 01 11 100101状态未给出,即是无所谓状态。状态未给出,即是无所谓状态。表 2-11 真值表例例2-38:已知真值表如图,用卡诺图化简。已知真值表如图,用卡诺图化简。101状态未给出,即是无所谓状态。表 2-11 真值表例2CAB00011110010011001 1化简时可以将无所谓状态当作1或0,目的是得到最简结果。认为是认为是1AF=ACAB00011110010011001化简时可以将无所谓例例2-39 化简解解 化简过程如图2-39所示,化简函数为图 2-39 例2-39化简及逻辑图例2-39 化简解 化简过程如图2-39所示,化简函数为例例 2-40 化简 解解 化简过程如图2-40所示,由于m11和m15对化简不利,因此就没圈进。图 2-40 例2-40化简及逻辑图例 2-40 化简 解 化简过程如图2-4例例 2-41 化简 解解 AB=0即表示A与B不能同时为1,则AB=11所对应的最小项,应视为无关项。其卡诺图及化简过程如图2-41所示。化简函数为图 2-41 例2-41化简过程例 2-41 化简 解 AB=0即表示A对于多输出逻辑函数,如果孤立地将单个输出一一化简,然后直接拼在一起,通常并不能保证整个电路最简,因为各个输出函数之间往往存在可供共享的部分。多输出逻辑函数化简的标准:多输出逻辑函数化简的标准:2)在满足上述条件的前提下,各不同与项中所含的变量总数最少。1)所有逻辑表达式包含的不同与项总数最小;多输出逻辑函数的化简多输出逻辑函数的化简对于多输出逻辑函数,如果孤立地将单个输出一一化简,然后直接拼例例2-42:多输出函数.对应的卡诺图为100 01 11 1001ABC1 1F1100 01 11 1001ABC1 1F2F1,F2共含4个不同的与项。图 2-42 卡诺图例2-42:多输出函数.对应的卡诺图为100 01 11从多输出函数化简的观点来看,它们不是最佳的,应该是:多输出逻辑函数的化简多输出逻辑函数的化简考试不要求考试不要求对应的卡诺图为:100 01 11 1001ABC1 1F11 1100 01 11 1001ABCF2F1,F2共含3个不同的与项,其中ABC 为共享部分。图 2-43 卡诺图从多输出函数化简的观点来看,它们不是最佳的,应该是:多输出逻 当当当当逻逻逻逻辑辑辑辑变变变变量量量量数数数数目目目目太太太太多多多多,人人人人工工工工化化化化简简简简的的的的难难难难度度度度会会会会大大大大幅幅幅幅度度度度上上上上升升升升,不不不不便便便便采采采采用用用用卡诺图(卡诺图(卡诺图(卡诺图(KK图);图);图);图);人人人人们们们们探探探探求求求求在在在在逻逻逻逻辑辑辑辑化化化化简简简简中中中中更更更更适适适适合合合合于于于于计计计计算算算算机机机机求求求求解解解解的的的的布布布布尔尔尔尔代代代代数数数数算算算算法法法法,以以以以适应大规模集成电路的自动化设计与应用的过程。适应大规模集成电路的自动化设计与应用的过程。适应大规模集成电路的自动化设计与应用的过程。适应大规模集成电路的自动化设计与应用的过程。主要步骤是:主要步骤是:主要步骤是:主要步骤是:1 1)列最小项表;)列最小项表;)列最小项表;)列最小项表;2 2)搜索、合并相邻项;)搜索、合并相邻项;)搜索、合并相邻项;)搜索、合并相邻项;3 3)列质蕴涵表;)列质蕴涵表;)列质蕴涵表;)列质蕴涵表;4 4)选取最小覆盖。)选取最小覆盖。)选取最小覆盖。)选取最小覆盖。2.5.3 列表化简法(列表化简法(列表化简法(列表化简法(Quine-McCluskyQuine-McClusky法,奎因法)法,奎因法)法,奎因法)法,奎因法)当逻辑变量数目太多,人工化简的难度会大幅度上升,不便采用卡诺二变量合并项二变量合并项四变量最小项四变量最小项四变量最小项四变量最小项三变量合并项三变量合并项三变量合并项三变量合并项例例2-43:用用用用Q-MQ-M法化简逻辑函数法化简逻辑函数法化简逻辑函数法化简逻辑函数 表 2-12二变量合并项四变量最小项三变量合并项例2-43:用Q-M法化质蕴涵项表质蕴涵项表P P3 3对对应应的的最最小小项项已已被被其其它它蕴蕴涵涵项项覆覆盖盖,可可以以不不选选P P3 3。P P1 1,P,P2 2,P,P4 4和和P P5 5都都含含有有一一个个独独立立的的最最小小项项,因因此此它它们是必要质蕴涵项。们是必要质蕴涵项。表 2-13质蕴涵项表P3对应的最小项已被其它蕴涵项覆盖,可以不选P3。一一一一次次次次质质质质蕴蕴蕴蕴涵涵涵涵表表表表的的的的必必必必要要要要质质质质蕴蕴蕴蕴涵涵涵涵项项项项有有有有P P1 1和和和和P P3 3,再再再再加加加加上上上上二二二二次次次次质质质质蕴蕴蕴蕴涵涵涵涵表表表表的的的的基基基基本本本本质质质质蕴蕴蕴蕴涵涵涵涵项项项项P P2 2,得得得得到到到到函函函函数的化简式:数的化简式:数的化简式:数的化简式:例例2-44:已知函数已知函数已知函数已知函数 F F 的质蕴涵表如下,求最小覆盖。的质蕴涵表如下,求最小覆盖。的质蕴涵表如下,求最小覆盖。的质蕴涵表如下,求最小覆盖。P P1 1和和和和P P3 3是是是是必必必必要要要要质质质质蕴蕴蕴蕴涵涵涵涵项项项项,它它它它们们们们覆覆覆覆盖盖盖盖了了了了4 4,5 5,6 6,7 7和和和和3 3,1111共共共共六六六六个个个个最最最最小小小小项项项项。将将将将重重重重复复复复覆覆覆覆盖盖盖盖的的的的P P4 4和和和和P P8 8舍舍舍舍去去去去,再再再再归归归归纳纳纳纳出出出出二二二二次次次次质质质质蕴蕴蕴蕴涵表,如下:涵表,如下:涵表,如下:涵表,如下:表 2-14(1)表 2-14(2)一次质蕴涵表的必要质蕴涵项有P1和P3,再加上二次质蕴涵表的四变量最小项四变量最小项四变量最小项四变量最小项 例例2-45:用奎因法求用奎因法求用奎因法求用奎因法求 的最简表达式的最简表达式的最简表达式的最简表达式P P1 1P P2 2P P4 4P P3 3表 2-15四变量最小项例2-45:用质蕴涵表:质蕴涵表:质蕴涵表:质蕴涵表:ABABCDCD00000101000001011111101011111010表 2-16(1)表 2-16(2)质蕴涵表:ABCD0001000111101110表 2-12.1逻辑代数2.1.1 基本逻辑:与、或、非,电路,真值表,逻辑符号2.1.2 基本逻辑运算:逻辑加、逻辑乘、逻辑非、复合逻辑运算2.1.3 真值表和逻辑函数2.1.4 逻辑相等:基本公式、交换律、结合律、分配律、重复律、反演律2.1.5三个规则:代入规则、反演规则、对偶规则2.1.6常用公式2.1.7 逻辑函数的标准形式:最大项表达式、最小项表达式2.2逻辑代数化简2.2.1公式法化简:合并项法、消去法、吸收法、配项法2.2.2卡诺图化简2.2.3系统化简法2.1逻辑代数第二章 逻辑函数及其简化复习重点:逻辑函数的基本公式、基本定理和基本定律常用公式最小项和最大项的概念函数公式化简法和卡诺图化简法难点:公式化简法和卡诺图化简法怎样化到最简形式重点:第二章 逻辑函数及其简化复习
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 管理文书 > 施工组织


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!